SlideShare une entreprise Scribd logo
1  sur  10
Télécharger pour lire hors ligne
International Journal of Electrical and Computer Engineering (IJECE)
Vol. 11, No. 2, April 2021, pp. 1709~1718
ISSN: 2088-8708, DOI: 10.11591/ijece.v11i2.pp1709-1718  1709
Journal homepage: http://ijece.iaescore.com
Design and implementation of DA FIR filter for bio-inspired
computing architecture
B. U. V. Prashanth1
, Mohammed Riyaz Ahmed2
, Manjunath R. Kounte3
1,3
School of Electronics and Communication Engineering, REVA University, India
2
School of Multidisciplinary Studies, REVA Universiy, India
Article Info ABSTRACT
Article history:
Received Apr 23, 2020
Revised Jul 27, 2020
Accepted Sep 16, 2020
This paper elucidates the system construct of DA-FIR filter optimized for
design of distributed arithmetic (DA) finite impulse response (FIR) filter and
is based on architecture with tightly coupled co-processor based data
processing units. With a series of look-up-table (LUT) accesses in order to
emulate multiply and accumulate operations the constructed DA based FIR
filter is implemented on FPGA. The very high speed integrated circuit
hardware description language (VHDL) is used implement the proposed filter
and the design is verified using simulation. This paper discusses two
optimization algorithms and resulting optimizations are incorporated into
LUT layer and architecture extractions. The proposed method offers an
optimized design in the form of offers average miminimizations of the
number of LUT, reduction in populated slices and gate minimization for DA-
finite impulse response filter. This research paves a direction towards
development of bio inspired computing architectures developed without
logically intensive operations, obtaining the desired specifications with
respect to performance, timing, and reliability.
Keywords:
Bio-inspired computing
Distributed arithmetic
Finite impulse response
MAC and parallel filters
Processor architecture
Systolic array
This is an open access article under the CC BY-SA license.
Corresponding Author:
B. U. V. Prashanth
School of Electronics and Communication Engineering
REVA University
Rukmini Knowledge Park, Yelahanka, Bengaluru-560064, India
Email: prashanthbuv@reva.edu.in
1. INTRODUCTION
High throughput is required since the finite impulse response filters are used intensively in video,
communications systems as well as bio-inspired computing systems. Essentially, digital filters are used in
time and frequency domain to adjust the characteristics of the signals and are identified as the primary digital
signal processing feature [1]. The DSP design techniques focus mainly on multiplier-based architectures for
multiply-and-accumulate (MAC) blocks implementation which represent the FIR filters and several
functions. High speed parallel filter designs are elucidated in excruciating detail. Finite impulse response
(FIR) filters are prominent building blocks for several applications in the field of digital signal processing
(DSP). High-speed FIR filters have been widely used to perform signal equalization on the received data in
real time due to the increasing demand for video-signal processing and transmission. Therefore a structured
VLSI architecture is needed for a programmable fast FIR filter [2].
The various FIR Filters were suggested in last few decades, many structures and different algorithms
have been utilized for the enhamcement of the filter weights. The very common structures utilized were least
mean square (LMS) derived models since their response in convergence is strong. Block processing with
distributed arithmetic methods is explored to derive a design that should give high throughput [3]. The
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718
1710
parallelism assists in minimizing the number of clock cycles desired for partial product calculation. This
increases the proposed processing speed as compared with current systems.
Distributed arithmetic (DA) is a strategy of high-speed multiplication which is a bit serial word
parallel technique where the throughput rate does not depend on the data size. The DA facilitates to avoid the
multipliers in the design and makes the area of the system efficient in the throughput and several DA based
structures were designed in order to minimize the area and to reduce the cost of processing [4]. The primary
operations necessary for DA-based processing are a series of accesses to a lookup table (LUT), preceded by
the LUT output's shift-accumulation operations. The standard framework of DA used to implement the FIR
filter implies that the coefficients of the impulse response are fixed and this action allows use of ROM based
LUTs. However, with linear filter order the memory requirement for Distributed Arithmetic implementation
of FIR filters rises exponentially is one of the hard problems to be addressed [5]. The key contributions of
this research are:
- Develop systolic array architecture with tightly coupled co-processor based data processing units.
- Develop optimization algorithms with optimizations incorporated into LUT layer with architecture
extractions and propose bio inspired computing architecture to compute FIR filters at high processing
speeds using reconfigurable computing based on DA strategy.
2. RELATED WORK
Modular finite-impulse response (FIR) filter whose filter coefficients switch dynamically during
latency, which plays a major role in architectures for software-defined radio (SDR), multi-channel filters, bi-
inspired computing and digital up/down converters. However, when the filter coefficients vary dynamically,
the well-known multiple constant multiplication (MCM)-based methods that are widely used to realize the
FIR filters cannot be used. Addressing to the solution to the problem of such large memory requirement,
systolic decomposition techniques are utilized for DA-based implementation of long-length convolutions and
FIR filter of large orders. It is necessary to use rewritable RAM based LUT instead of ROM based LUT for
reconfigurable DA based FIR filter whose filter coefficients alter dynamically. Another method is to store the
analog domain coefficients using serial digital to analog converters, resulting in mixed-signal architectures
[6].
A pipelined design for an adaptive FIR filter carry out the save accumulation technique which is
used for partial inner product calculation that facilitates in enhancing the throughput with block processing is
utilized in increasing the computational speed of the system. On the other hand, a particular multiplier-based
structure requires a wide chip region, and thereby controls limitations on the highest allowable order of the
filter that can be interpreted for high-throughput applications [7]. In recent years, distributed arithmetic (DA)-
based technique has gained substantial popularity due to its high capacity for processing throughput and
increased regularity, resulting in cost-effective and area-time efficient computing structures.
The primary operations required for DA-based processing are a sequence of accesses to a lookup
table (LUT), followed by the LUT output's shift-accumulation operations [8]. The conventional
implementation of the DA used to implement the FIR filter assumes that the coefficients of the impulse
response are fixed and this behavior allows the use of ROM based LUTs. However, with the filter order the
memory requirement for DA-based implementation of FIR filters increases exponentially [9].
The systolic decomposition techniques are used to get rid of the problem of such a large memory
requirement. For long-length convolutions and large-order FIR filter for DA-based implementation, we must
use rewritable RAM based LUT instead of ROM based LUT for reconfigurable DA-based FIR filter whose
filter coefficients change dynamically. Another approach is to store the coefficients in the analog domain by
using serial digital to analog converters resulting in mixed-signal architecture. We also find quite a few
works on DA based implementation of adaptive filters, where the coefficients change at every cycle [10].
3. PROPOSED METHOD AND ALGORITHM DESIGN
Distributed arithmetic is a popular architecture without the use of multipliers to implement FIR
filters. DA makes efficient use of LUTs, shifters, and adders to calculate the sum of products required for
FIR filters. Since these operations effectively map onto an FPGA, Distributed arithmetic on these devices is a
favourable architecture [11].
The Figure 1 illustrates the experimental design of the research work presented in this manuscript.
Distributed Arithmetic is a prominent architecture without the use of multipliers to implement FIR filters. DA
makes efficient use of LUTs, shifters, and adders to calculate the sum of multiplication factors needed for
FIR filters. Though distributed arithmetic implements the FIR filter by serialization bits of inputs, a filter
quantisation is required. Due to the fixed data path requirements in input analog to digital converter (ADC)
Int J Elec & Comp Eng ISSN: 2088-8708 
Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth)
1711
and the output digital to analog converter (DAC) widths the length of the word with 12 bit input and output
with 11 fractional bits are assumed to be required to quantize the FIR filter [12].
Figure 1. Block diagram of experimental design
After the quantization process the HDL Code is generated with DA architecture. The HDL code
generator uses distributed arithmetic architecture, and partitions the look-up-table (LUT) into a specified
number of LUT partitions with the range of taps each partition associates. It is best to divide the taps into a
number of LUTs for a filter with many taps, with each LUT storing the sum of coefficients only for the taps
that are associated with it.
The FIR filter structure has symmetric coefficients, and we consider converting the structure to
reduce the area. Here we convert the filter structure to direct form symmetric and generate the HDL code for
default radix of 2. In hardware, a symmetrical filter structure offers advantages, as it halves the number of
coefficients to work with which substantially reduces the complexity of the hardware. The predefined
architecture is an implementation of Radix 2 that runs on one bit of input data per clock period. Before an
output is obtained, the number of clock phases elapsed is equal to the number of bits in the input data and DA
may effectively limit the throughput. DA can be configured to process multiple bits in parallel, to improve
the DA throughput. The processing of 12 bits at a time for a 12 bit input word length can be specified with
the corresponding DA-Radix values of 212
. The speed vs. area is trade off by selecting different 'DARadix'
values and the amount of parallel bits illustrates the factor with the increased rate of the clock which is the
number of cycles to perform an iteration [13]. The Tables 1-3 elucidate the information of DA architecture.
The Table 1 depicts the 'DARadix' values with corresponding values of number of cycles to perform an
iterationand multiple for LUT sets for the given filter. Further Table 2 illustrates the details of LUTs with
corresponding 'DALUTPartition' values. Details of LUT indicate number of LUTs with the sizes of LUT for
example (1x1024x18) implies 1 LUT of 1024 18-bit wide locations [14].
Table 1. 'DARadix' values with number of cycles to perform an iterationand multiple for LUT sets
Folding Factor LUT Sets Multiple DA Radix
1 12 212
2 6 26
3 4 24
4 3 23
6 2 22
12 1 21
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718
1712
Table 2. Details of LUTs with corresponding partitions of DA-LUT
Address
Width
Size (bits) LUT DA LUT Partition
W12 b’259072 [1024x13], [13x4096], [14x4096], [15x4096], [18x4096] (12) (12) (12) (12) (10)
W11 b’147544 [2x2048x14], [1x2048x18], [2x2048x13], [1x8x11] (11) (11) (11) (11) (11) (3)
W10 b’78080 [1x1024x18], [3x1024x13], [1x1024x16], [1x256x13] (10) (10) (10) (10) (10) (8)
W09 b’43712 [1x512x12], [1x512x14], [1x512x18], [1x16x12], [2x512x13],
[1x512x15]
(9) (9) (9) (9) (9) (9) (4)
W08 b’25384 [1x4x10], [1x256x14], [1x256x18], [4x256x13], [1x256x15] (8) ( 8) (8) (8) (8) (8) (8)( 2)
W07 b’14248 [1x128x14], [1x128x16], [1x4x10], [2x128x12], [3x128x13],
[1x128x18]
(7) (7 )(7) (7) (7) (7) (7) (2)
W06 b’8000 [4x64x12], [1x16x12], [1x64x17], [2x64x14], [1x64x16], [1x64x13] one(9,1)*(6), (4)
W05 b’4696 [1x8x11], [4x32x12], [3x32x13], [1x32x15], [1x32x14], [1x32x17],
[1x32x11]
one(1,11)*(5), (3)
W04 b’2904 [1x16x15], [5x16x12], [2x16x13], [2x16x14], [1x16x17], [1x4x10],
[3x16x11]
one(1,14)*(4),(2)
W03 b’1926 [8x8x12], [2x8x14], [2x8x15], [1x8x17], [1x2x7], [5x8x11],
[1x8x13]
one(1,19)*(3), 1
W02 b’1412 [12x4x11], [2x4x13], [2x4x15], [1x4x17], [2x4x10], [6x4x12],
[4x4x14]
one(1,29)*(2)
Table 3. Tabular column of complete twiddle factor for each LUT inputs
Folding Factor LUT Inputs LUT Size LUT Details
1 LUT4 S(34848) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x15, 1x16x17, 3x16x11)x12
2 LUT4 S(17424) (3x16x17, 5x16x12, 2x16x14, 2x16x13, 1x16x15, 1x16x11, 1x4x10)x6
3 LUT4 S(11616) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15, 3x16x11)x4
4 LUT4 S(8712) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x3
6 LUT4 S(5808) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x2
12 LUT4 S(2904) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x1
As depicted in Table 3, if it is required to increase the clock rate by four scales the sampling
frequency and utilize six input LUTs then we can verify that the details of LUT meets the area requirements.
Next a test bench is designed with a standard setup, and uses a simulator to verify the generated code for
distributed arithmetic architecture [15]. The synthesis tool is utilized to compare the area and speed of the
DA architecture. The Algorithm 1 illustrates the performance analysis and optimation of LUT layer. As
shown in Algorithm 2, the cost function could be any arbitrary parameters delay, power or power delay
multiplication (PDM) returned from optimized LUT.
Algorithm 1: Performance analysis and optimization of LUT layer
Result: Optimization of LUT Layer
Start
Optimize LUT (Addr bits: k, num LUTs: m)
Delay(LUTi,1) ← dlut[j] ; for all j set of [k]
Power(LUTi,1)← plut[j] for all j set of [k]
Power Delay(LUTj,1)← pdlut[j]; for all j set of [k]
While {Read the Input Parameters}{
for (i=2; i <= k; i++)
for (j=2; j <= m; j++)
else If{Perform Optimization}
{
Delay(LUTi,j)←minu{max{ dlut[u] +D(LUTi-u,j-1)}
};
P(LUTi,j)←minima w{plut[w] + Power(LUTi-w,j-1)};
PD(LUTi,j)←minima{Delay(LUTi,j.Power Utilization (LUTi,j)};
end for
}{Compute:return Delay(LUTk,m), Power(LUTk,m) Power Delay(LUTk,m)}
Calculate the performance;
}
Stop
Algorithm 2: Algorithm steps to optimized architecture extractions
Result: Optimized Architecture Extractions
Start;
Define parameters;
While {Read the Input Parameters}
{
Architecture Optimize (N:Filter Order):
Int J Elec & Comp Eng ISSN: 2088-8708 
Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth)
1713
Optimized Solution← infinity;
Select cost from (Delay | Power | Power Delay Muliplication)
for (i=1; i <= N; i++)
for (j=1; j <=i; j++)
else If{Perform Optimization}
{
ArchCost = cost(OptimizeLUT(i,j))
if (Architecture Cost )
Optmized response←Architecture Cost;
end for
end for
}
Compute:
return:
Optimum Solution
Calculate the performance;
Stop
}
4. RESULTS AND DISCUSSIONS
The fixed point settings are applied in order to obtain the characteristic plot of magnitude response
(dB) indicating the curves between the magnitude (dB) and the normalized frequency (π radians per sample)
with the comparison between reference and quantized filter as depicted in Figure 2(a). The characteristic plot
representing the complete design specification of DA FIR filter along with the Log magnitude (dB) and phase
(degrees) is as depicted in Figure 2(b). In this case the full precision override is not considered and custom
coefficient data type is considered in the design. With the optimizations addressed by variations in
architectural level enhancements using DA concept of digital filtering which improves device utilization
[16, 17].
(a) (b)
Figure 2. Plot of (a) magnitude response (dB), (b) log magnitude (dB)-phase (degrees)
Here the clock rate is four times the input sample rate for this architecture and the effective filter
length for serial partition value is 58 along with three samples of HDL latency, achieved with the FIR
compiler and the corresponding frequency response diagram obtained in FIR compiler is as depicted in
Figure 3(a) and with reference to this the pole-zero (P-Z) diagram is as depicted in Figure 3(b). Because of
mid-stage pipelining, the entire architecture is split into two sections, namely the input section and the output
section. Here the power consumption of the DA architecture is estimated at 20 MHz frequency and the final
DA architecture is designed using the systolic rearrangement of delay elements. The preconfigured logic
functions, that is the intellectual property (IP) cores optimized for FPGAs is generated using FIR compiler
and Figure 4 illustrates the block design to verify the DA FIR filter responses as obtained in the Figure 2 and
Figure 3.
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718
1714
(a) (b)
Figure 3. Plot of (a) frequency response (dB), (b) pole-zero (P-Z) diagram
Figure 4. A system construct of DA-FIR filter optimized for ZYNQ FPGA
As depicted in Figure 4 the RAM based shift register is having 16 bit width and 16 bit depth is
configured as a circular buffer and it is initialized with memory initialization radix and memory initialization
vector of 16-bits as arbitary waveform generator and on every cycle of 100 MHz clock, the shift RAM
outputs the last sample first and proceeds towards the initial sequence and loops back. Further the complete
DA FIR filter is processed using the ZYNQ FPGA as a special purpose tightly coupled processor. The
Figure 5 illustrates the performance evaluation of the design with behavioral simulation of DA FIR Filter
obtained in Xilinx ISE environment with phase (phase 0, 3) and serial (serial out 1, 2, 3) and the Figure 6
depicts the performance evaluation with analysis of filter coefficient values.
The Figure 7 compares the proposed DA FIR filter design with the previous designs available in
[18-21] in terms of number of multipliers versus the filter order as depicted in Figure 7(a). In Figure 7(b) the
number of adders versus order of Filter is illustrated along with the LUT optimization with number of LUTs
versus order of filter in Figure 7(c), the Figure 7(d) represents the number of registers versus the filter order.
The estimated delay based on (Gate delay-DG) for Distributed Arithmetic unit of LUT, LUT-less and
Int J Elec & Comp Eng ISSN: 2088-8708 
Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth)
1715
proposed architecture implementation [22, 23] is as shown in the Figure 8. Here the delay of the proposed
architecture is 14 % (for 8-order filter) and 64.7% (for 140-order filter) less delay in comparison of LUT-less
architecture [24, 25].
Figure 5. Performance evaluation with simulation of DA-FIR Filter with phase, and serial outputs
Figure 6. Performance evaluation with simulation of DA-FIR Filter with filter coefficients
Figure 7. Comparisons of previous research with proposed research with filter order versus (a) Number of
multipliers, (b) Number of adders, (c) Number of LUT’s, (d) Number of registers
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718
1716
Figure 8. Estimated gate delay of FIR Filters for DA based architectures
The Table 4 illustrates the comparison of the obtained synthesis results of the proposed research
work with the previously published literature. Here the factor B is denoted as bit width of the filter
coefficients. Further extending this concept in the following mathematical formulae compares the hardware
and time complexities of our proposed DA-FIR structures with other filter structures.
Here if: B: = bit width of filter coefficients,
M: = image width,
TM: = delay of multiplier,
TPA: =delay of parallel adder)
Then: T1 =TPA + 2.TFA (log2 N −1),
TDAHLUT =2TPA,
TPU = TMUX + TSAB,
TSAB = TFA + TXOR + TD
Table 4. Synthesis results comparison of proposed structure with past works
Design
Minimum Sampling
Period(ns)
Area(μm2
)
Power
(mw)
Area Delay Product
(μm2
ns)
Energy per
output
Throughput
(MHz)
[26]
B = 8, 11.79
B = 16, 13.3
1,720,962.1471
12,661,783.52
50.0106
181.165
2,537,558
10,550,431
312.56
566.14
678.19
1200
[27]
B = 8, 13.01
B = 16, 14.65
356,293.0216
1,154,123.0880
9.3807
26.9941
4,636,084
16,907,903
1319.07
312.56
76.80
68.25
[28]
B = 3, 2.11
B = 7, 2.34
B= 15, 2.41
98,266.83
412,267.34
1,734,743.62
2.47
8.91
36.48
207,343.01
964,704.78
4,180,732.12
1235
4555
18,240
-
This Work
B = 8, 6.73
B = 16, 6.92
651,615.4709
4,936,081.6759
20.1069
102.3432
531,880
2,131,770
175.66
319.82
1325.11
2325.48
The TMUX, TFA, TXOR and TD are the delay of MUX, full adder, XOR gate and D flip-flop,
respectively. This comparison of time complexities and hardware of proposed DA-FIR designs with other
filter designs is as depicted in Table 5. The Table 4 illustrates our best solution and compares the obtained
parameters of our synthesis results with previous works in terms of numerical values of (MSP)-Minimum
Sampling Period(ns), Area(μm2
), Power(mw) ,(ADP)-Area Delay Product(μm2
ns), Energy per output,
Throughput(MHz) [29, 30]. Further the Table 5 compares the obtained results in our work with previous
works with numerically addressing with mathematical formulas of various parameters such as Throughput,
multipliers, adders and registers [31, 32]. The implementation of multi-core computing system is done on the
ZYNQ platform with the use of VERILOG language to program and compile the framework [33].
Int J Elec & Comp Eng ISSN: 2088-8708 
Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth)
1717
Table 5. Time complexities and hardware of proposed DA-FIR designs with other designs
Design Throughput Multipliers Adders Registers
[34] L/(TM + T1) M 2
M 2
−1 (M +N)(M −1)
[35] 1/(TM +2TPA) MN 2
L([NxN] −1) (M +N)(M −1)
This Work N/(3TPA + 2.B.TPU) - N(7(L + N) − 26) +L((3M 2
/5) − 1) N(M −1)+N.Lc
5. CONCLUSIONS
The VHDL is used implement the proposed DA finite implse response filter and the design is
verified using simulation. The calculated theoretical values of the design match with obtained practical
values in the real time simulation environment. Two optimization algorithms are proposed and the resulting
optimizations are incorporated into LUT layer and architecture extractions of designed block. The proposed
work offers an optimized design in the form of average reductions of number of LUT, reduction in populated
slices and reduction in the number of gates for DA-finite impulse response filter implementation. This
research paves a way for bio inspired computing architecture with reconfigurable computing strategies
designed to avoid computationally intensive operations, achieving the desired specifications with respect to
flexibility, timing, and performance.
REFERENCES
[1] C. S. Vinitha and R. K. Sharma, “New approach to low-area, low-latency memory-based systolic architecture for
FIR filters,” Journal of Information and Optimization Sciences, vol. 40, no. 2, pp. 247-262, 2019.
[2] A. Agarwal and L. Bopanna, “Low Latency Area-Efficient Distributed Arithmetic Based Multi-Rate Filter
Architecture for SDR Receivers,” Journal of Circuits Systems and Computers, vol. 27, no. 8, pp. 1-21, 2018.
[3] T. Xu, et al., “Efficient real-time digital subcarrier cross-connect based on distributed arithmetic DSP algorithm,” in
Journal of Lightwave Technology, vol. 38, no. 13, pp. 3495-3505, 2020.
[4] D. Datta, et al., “FPGA implementation of high performance digital down converter for software defined radio,”
Microsystem Technologies, 2019.
[5] B. K. Mohanty and Pramod K. M., “An Efficient Parallel DA-Based Fixed-Width Design Approx Inner-Product
Comp,” IEEE Transactions on Very Large Scale Integration Systems, vol. 28, no. 5, pp. 1221-1229, 2020.
[6] P. Kumar, et al., “ASIC implementation of area efficient highthroughput 2-D IIR filter using distributed
arithmetic,” Circuits Syst. Signal Process, vol. 37, no. 7, pp. 2934-2957, 2018.
[7] G. N. Jyothi, et al., “ASIC implementation of distributed arithmetic based FIR filter using RNS for high speed DSP
systems,” International Journal of Speech Technology, vol. 23, pp. 259-264, 2020.
[8] G. N. Jyothi and S. Sridevi, “High speed and low area decision feed-back equalizer with novel memory less
distributed arithmetic filter,” Multimedia Tools and Applications, vol. 78, no. 23, pp. 79-93, 2019.
[9] P. V. P. Sundar, et al., “Low power area efficient adaptive FIR filter for hearing aids using distributed arithmetic
architecture,” International Journal of Speech Technology, vol. 2, pp. 1-10, 2020.
[10] M. R. Ahmed and B. K. Sujatha, “A review on methods, issues and challenges in neuromorphic engineering,” 2015
International Conference on Communications and Signal Processing (ICCSP), 2015, pp. 0899-0903.
[11] K. Vijetha and B. R. Naik, “High performance area efficient DA based FIR filter for concurrent decision feedback
equalizer,” International Journal of Speech Technology, vol. 3, pp. 1-7, 2020.
[12] X. Lou, et al., “Lower bound analysis perturbation critical path for area-time efficient multiple constant
multiplications,” IEEE Trans.Comput. Aided Des. Integr. Circuits Syst, vol. 36, no. 2, pp. 313-324, 2016.
[13] M. D’Arco, et al., “Digital Circuit for Seamless Resampling ADC Output Streams,” Sensors, vol. 20, no. 6,
p. 1619, 2020.
[14] M. R. Ahmed and B. K. Sujatha, “A review of reinforcement learning in neuromorphic VLSI chips using
computational cognitive neuroscience,” International Journal of Advanced Research in Computer and
Communication Engineering, vol. 2, no. 8, pp. 3315-3320, 2013.
[15] L. Cao, et al., “Hardware-efficient implementation of digital FIR filter using fast first-order moment algorithm,”
MIPPR2017: Parallel Process of Images,Optimization Techniques; and Medical Imaging, vol. 10610, 2018.
[16] H. Yao, et al., “Experimental demonstration of 4-PAM for high-speed indoor free-space OW communication based
on cascade FIR-LMS adaptive equalizer,” Optics Communications, vol. 426, pp. 490-496, 2018.
[17] E. Chitra, et al., “Analysis and implementation of high performance reconfigurable FIR filter using distributed
arithmetic,” Wireless Personal Communications, vol. 102, no. 4, 2018.
[18] H. Jiang, et al., “A review, classification, and comparative evaluation of approximate arithmetic circuits,” ACM
Journal on Emerging Technologies in Computing Systems (JETC), vol. 13, no. 4, 2017.
[19] G. Sanchez, et al., “A highly scalable parallel spike-based digital neuromorphic architecture for high-order fir filters
using LMS adaptive algorithm,” Neurocomputing, vol. 330, 2019.
[20] B. K. Mohanty, et al., “LUT Optimization for D.A-Based Block Least Mean Square Adaptive Filter,” IEEE
Transactions on Very Large Scale Integration Systems, vol. 24, no. 5, pp. 1926-1935, 2016.
[21] M. Sharma and S. K. Singh, “New Technique Based Peasant Multiplication for Effcient Signal Processing
Applications,” Indonesian Journal of Electrical Engineering and Computer Science (IJEECS), vol. 8, no. 3,
pp. 726-729, 2017.
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718
1718
[22] M. T. Khan and R. A. Shaik, “Optimal complexity architectures for pipelined D.A-based LMS adaptive filter,”
IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 2, pp. 630-642, 2018.
[23] H. Jiang, et al., “A high-performance and energy-efficient FIR adaptive filter using approximate D.A circuits,”
IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 1, pp. 313-326, 2018.
[24] M. Sumalatha, et al., “Low power and low area VLSI implementation of vedic design FIR filter for ECG signal de-
noising,” Microprocessors and Microsystems, vol. 71, pp. 1-13, 2019.
[25] R. K. Sarma, et al., “A novel time-shared and lut-less pipelined architecture for lms adaptive filter,” IEEE
Transactions on Very Large Scale Integration Systems, vol. 28, no. 1, pp. 188-197, 2019.
[26] B. U. V. Prashanth and M. R. Ahmed, “Design and Performance Analysis of Artificial Neural Network Based
Artificial Synapse for Bio-inspired Computing,” International Conference on Computational Vision and Bio
Inspired Computing, Springer, Cham, 2019, pp. 1294-1302.
[27] B. U. V. Prashanth and M. R. Ahmed, “FPGA Implementation of Bio-inspired Computing Based D.L Model,”
Advances in Distributed Computing and Machine Learning. Springer, Singapore, pp. 237-245, 2020.
[28] M. Z. Alom, et al., “A state-of-the-art survey on deep learning theory and architectures,” Electronics, vol. 8, no. 3,
p. 292, 2019.
[29] A. Mahabub, “Design and implementation of cost-effective simple FIR filter for EEG signal on FPGA,” World
Scientific News, vol. 125, pp. 1-17, 2019.
[30] A. Mahabub, “Design and Implementation of a Novel Complete Filter for EEG Application on FPGA,”
International Journal of Image, Graphics and Signal Processing, vol. 10, no. 6, pp. 22-30, 2018.
[31] B. Khurshid and R. N. Mir, “An efficient FIR filter structure based on technology-optimized multiply-adder unit
targeting LUT-based FPGAs,” Circuits, Systems, and Signal Processing, vol. 36, no. 2, pp. 600-639, 2017.
[32] K. S. Reddy and H. Suresh, “A Low-Power VLSI Implementation of RFIR Filter Design using Radix-2 Algorithm
with LCSLA,” IETE Journal of Research, pp. 1-10, 2019.
[33] B. Srikanth, et al., “The enhancement of security measures in advanced encryption standard using double precision
floating point multiplication model,” Wiley-Transactions on Emerging Telecommunications Technologies, pp. 1-13,
2020.
[34] W. Zhao, et al., “A division-free and variable-regularized LMS-based generalized sidelobe canceller for adaptive
beamforming and its efficient hardware realization,” IEEE Access, vol. 6 , pp. 64470-64485, 2018.
[35] S. Dixit and D. Nagaria, “LMS Adaptive Filters for Noise Cancellation: A Review,” International Journal of
Electrical and Computer Engineering, vol. 7, no. 5, pp. 2520-2529, 2017.

Contenu connexe

Tendances

EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...VLSICS Design
 
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...Pvrtechnologies Nellore
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...idescitation
 
IRJET- Low Complexity and Critical Path Based VLSI Architecture for LMS A...
IRJET-  	  Low Complexity and Critical Path Based VLSI Architecture for LMS A...IRJET-  	  Low Complexity and Critical Path Based VLSI Architecture for LMS A...
IRJET- Low Complexity and Critical Path Based VLSI Architecture for LMS A...IRJET Journal
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation IJEEE
 
IRJET- Decimator Filter for Hearing Aid Application Based on FPGA
IRJET-  	  Decimator Filter for Hearing Aid Application Based on FPGAIRJET-  	  Decimator Filter for Hearing Aid Application Based on FPGA
IRJET- Decimator Filter for Hearing Aid Application Based on FPGAIRJET Journal
 
Survey of Optimization of FFT processor for OFDM Receivers
Survey of Optimization of FFT processor for OFDM ReceiversSurvey of Optimization of FFT processor for OFDM Receivers
Survey of Optimization of FFT processor for OFDM Receiversijsrd.com
 
IRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCMIRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCMIRJET Journal
 
Area Efficient and high-speed fir filter implementation using divided LUT method
Area Efficient and high-speed fir filter implementation using divided LUT methodArea Efficient and high-speed fir filter implementation using divided LUT method
Area Efficient and high-speed fir filter implementation using divided LUT methodIJMER
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLIJMER
 
Implementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax TechnologyImplementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax Technologyiosrjce
 
DSM Based low oversampling using SDR transmitter
DSM Based low oversampling using SDR transmitterDSM Based low oversampling using SDR transmitter
DSM Based low oversampling using SDR transmitterIJTET Journal
 
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...journalBEEI
 
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...IRJET Journal
 

Tendances (17)

EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
 
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
 
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
FPGA Implementation of Optimized CIC Filter for Sample Rate Conversion in Sof...
 
F1074145
F1074145F1074145
F1074145
 
IRJET- Low Complexity and Critical Path Based VLSI Architecture for LMS A...
IRJET-  	  Low Complexity and Critical Path Based VLSI Architecture for LMS A...IRJET-  	  Low Complexity and Critical Path Based VLSI Architecture for LMS A...
IRJET- Low Complexity and Critical Path Based VLSI Architecture for LMS A...
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation
 
IRJET- Decimator Filter for Hearing Aid Application Based on FPGA
IRJET-  	  Decimator Filter for Hearing Aid Application Based on FPGAIRJET-  	  Decimator Filter for Hearing Aid Application Based on FPGA
IRJET- Decimator Filter for Hearing Aid Application Based on FPGA
 
Survey of Optimization of FFT processor for OFDM Receivers
Survey of Optimization of FFT processor for OFDM ReceiversSurvey of Optimization of FFT processor for OFDM Receivers
Survey of Optimization of FFT processor for OFDM Receivers
 
IRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCMIRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCM
 
Area Efficient and high-speed fir filter implementation using divided LUT method
Area Efficient and high-speed fir filter implementation using divided LUT methodArea Efficient and high-speed fir filter implementation using divided LUT method
Area Efficient and high-speed fir filter implementation using divided LUT method
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDL
 
Implementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax TechnologyImplementation Cost Analysis of the Interpolator for the Wimax Technology
Implementation Cost Analysis of the Interpolator for the Wimax Technology
 
DSM Based low oversampling using SDR transmitter
DSM Based low oversampling using SDR transmitterDSM Based low oversampling using SDR transmitter
DSM Based low oversampling using SDR transmitter
 
I010435659
I010435659I010435659
I010435659
 
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
 
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
 

Similaire à Design and implementation of DA FIR filter for bio-inspired computing architecture

FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
FPGA Implementation of Higher Order FIR Filter
FPGA Implementation of Higher Order FIR Filter FPGA Implementation of Higher Order FIR Filter
FPGA Implementation of Higher Order FIR Filter IJECEIAES
 
Matlab Based Decimeter Design Analysis Wimax Appliacation
Matlab Based Decimeter Design Analysis Wimax AppliacationMatlab Based Decimeter Design Analysis Wimax Appliacation
Matlab Based Decimeter Design Analysis Wimax Appliacationiosrjce
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...IOSR Journals
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...IOSR Journals
 
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...VLSICS Design
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...VLSICS Design
 
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...VLSICS Design
 
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...ijaia
 
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...Design of Scalable FFT architecture for Advanced Wireless Communication Stand...
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...IOSRJECE
 
IRJET- Review on Dynamic Reconfiguration of Filters for Signal Processing
IRJET- Review on Dynamic Reconfiguration of Filters for Signal ProcessingIRJET- Review on Dynamic Reconfiguration of Filters for Signal Processing
IRJET- Review on Dynamic Reconfiguration of Filters for Signal ProcessingIRJET Journal
 
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHM
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHMAN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHM
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHMIJARIDEA Journal
 
IRJET- Efficient Shift add Implementation of Fir Filter using Variable Pa...
IRJET-  	  Efficient Shift add Implementation of Fir Filter using Variable Pa...IRJET-  	  Efficient Shift add Implementation of Fir Filter using Variable Pa...
IRJET- Efficient Shift add Implementation of Fir Filter using Variable Pa...IRJET Journal
 
Novel design algorithm for low complexity programmable fir filters based on e...
Novel design algorithm for low complexity programmable fir filters based on e...Novel design algorithm for low complexity programmable fir filters based on e...
Novel design algorithm for low complexity programmable fir filters based on e...jpstudcorner
 

Similaire à Design and implementation of DA FIR filter for bio-inspired computing architecture (20)

FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
FPGA Implementation of Higher Order FIR Filter
FPGA Implementation of Higher Order FIR Filter FPGA Implementation of Higher Order FIR Filter
FPGA Implementation of Higher Order FIR Filter
 
J017635664
J017635664J017635664
J017635664
 
Matlab Based Decimeter Design Analysis Wimax Appliacation
Matlab Based Decimeter Design Analysis Wimax AppliacationMatlab Based Decimeter Design Analysis Wimax Appliacation
Matlab Based Decimeter Design Analysis Wimax Appliacation
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
An efficient high performance reconfigurable canonical sign digit architectu...
An efficient high performance reconfigurable canonical sign  digit architectu...An efficient high performance reconfigurable canonical sign  digit architectu...
An efficient high performance reconfigurable canonical sign digit architectu...
 
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...
Modified Distributive Arithmetic Based DWT-IDWT Processor Design and FPGA Imp...
 
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
EFFICIENT HARDWARE CO-SIMULATION OF DOWN CONVERTOR FOR WIRELESS COMMUNICATION...
 
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...
Efficient Hardware Co-Simulation of Down Convertor for Wireless Communication...
 
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
CANONIC SIGNED DIGIT BASED DESIGN OF MULTIPLIER-LESS FIR FILTER USING SELFORG...
 
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...Design of Scalable FFT architecture for Advanced Wireless Communication Stand...
Design of Scalable FFT architecture for Advanced Wireless Communication Stand...
 
IRJET- Review on Dynamic Reconfiguration of Filters for Signal Processing
IRJET- Review on Dynamic Reconfiguration of Filters for Signal ProcessingIRJET- Review on Dynamic Reconfiguration of Filters for Signal Processing
IRJET- Review on Dynamic Reconfiguration of Filters for Signal Processing
 
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHM
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHMAN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHM
AN EFFICIENT DSP ARCHITECTURE DESIGN IN FPGA USING LOOP BACK ALGORITHM
 
IRJET- Efficient Shift add Implementation of Fir Filter using Variable Pa...
IRJET-  	  Efficient Shift add Implementation of Fir Filter using Variable Pa...IRJET-  	  Efficient Shift add Implementation of Fir Filter using Variable Pa...
IRJET- Efficient Shift add Implementation of Fir Filter using Variable Pa...
 
A05410105
A05410105A05410105
A05410105
 
Novel design algorithm for low complexity programmable fir filters based on e...
Novel design algorithm for low complexity programmable fir filters based on e...Novel design algorithm for low complexity programmable fir filters based on e...
Novel design algorithm for low complexity programmable fir filters based on e...
 
Design Radix-4 64-Point Pipeline FFT/IFFT Processor for Wireless Application
Design Radix-4 64-Point Pipeline FFT/IFFT Processor for Wireless ApplicationDesign Radix-4 64-Point Pipeline FFT/IFFT Processor for Wireless Application
Design Radix-4 64-Point Pipeline FFT/IFFT Processor for Wireless Application
 

Plus de IJECEIAES

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...IJECEIAES
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionIJECEIAES
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...IJECEIAES
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...IJECEIAES
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningIJECEIAES
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...IJECEIAES
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...IJECEIAES
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...IJECEIAES
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...IJECEIAES
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyIJECEIAES
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationIJECEIAES
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceIJECEIAES
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...IJECEIAES
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...IJECEIAES
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...IJECEIAES
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...IJECEIAES
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...IJECEIAES
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...IJECEIAES
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...IJECEIAES
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...IJECEIAES
 

Plus de IJECEIAES (20)

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regression
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learning
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancy
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimization
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performance
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
 

Dernier

Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsync
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsyncWhy does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsync
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsyncssuser2ae721
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxPurva Nikam
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitterShivangiSharma879191
 

Dernier (20)

Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsync
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsyncWhy does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsync
Why does (not) Kafka need fsync: Eliminating tail latency spikes caused by fsync
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter
 

Design and implementation of DA FIR filter for bio-inspired computing architecture

  • 1. International Journal of Electrical and Computer Engineering (IJECE) Vol. 11, No. 2, April 2021, pp. 1709~1718 ISSN: 2088-8708, DOI: 10.11591/ijece.v11i2.pp1709-1718  1709 Journal homepage: http://ijece.iaescore.com Design and implementation of DA FIR filter for bio-inspired computing architecture B. U. V. Prashanth1 , Mohammed Riyaz Ahmed2 , Manjunath R. Kounte3 1,3 School of Electronics and Communication Engineering, REVA University, India 2 School of Multidisciplinary Studies, REVA Universiy, India Article Info ABSTRACT Article history: Received Apr 23, 2020 Revised Jul 27, 2020 Accepted Sep 16, 2020 This paper elucidates the system construct of DA-FIR filter optimized for design of distributed arithmetic (DA) finite impulse response (FIR) filter and is based on architecture with tightly coupled co-processor based data processing units. With a series of look-up-table (LUT) accesses in order to emulate multiply and accumulate operations the constructed DA based FIR filter is implemented on FPGA. The very high speed integrated circuit hardware description language (VHDL) is used implement the proposed filter and the design is verified using simulation. This paper discusses two optimization algorithms and resulting optimizations are incorporated into LUT layer and architecture extractions. The proposed method offers an optimized design in the form of offers average miminimizations of the number of LUT, reduction in populated slices and gate minimization for DA- finite impulse response filter. This research paves a direction towards development of bio inspired computing architectures developed without logically intensive operations, obtaining the desired specifications with respect to performance, timing, and reliability. Keywords: Bio-inspired computing Distributed arithmetic Finite impulse response MAC and parallel filters Processor architecture Systolic array This is an open access article under the CC BY-SA license. Corresponding Author: B. U. V. Prashanth School of Electronics and Communication Engineering REVA University Rukmini Knowledge Park, Yelahanka, Bengaluru-560064, India Email: prashanthbuv@reva.edu.in 1. INTRODUCTION High throughput is required since the finite impulse response filters are used intensively in video, communications systems as well as bio-inspired computing systems. Essentially, digital filters are used in time and frequency domain to adjust the characteristics of the signals and are identified as the primary digital signal processing feature [1]. The DSP design techniques focus mainly on multiplier-based architectures for multiply-and-accumulate (MAC) blocks implementation which represent the FIR filters and several functions. High speed parallel filter designs are elucidated in excruciating detail. Finite impulse response (FIR) filters are prominent building blocks for several applications in the field of digital signal processing (DSP). High-speed FIR filters have been widely used to perform signal equalization on the received data in real time due to the increasing demand for video-signal processing and transmission. Therefore a structured VLSI architecture is needed for a programmable fast FIR filter [2]. The various FIR Filters were suggested in last few decades, many structures and different algorithms have been utilized for the enhamcement of the filter weights. The very common structures utilized were least mean square (LMS) derived models since their response in convergence is strong. Block processing with distributed arithmetic methods is explored to derive a design that should give high throughput [3]. The
  • 2.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718 1710 parallelism assists in minimizing the number of clock cycles desired for partial product calculation. This increases the proposed processing speed as compared with current systems. Distributed arithmetic (DA) is a strategy of high-speed multiplication which is a bit serial word parallel technique where the throughput rate does not depend on the data size. The DA facilitates to avoid the multipliers in the design and makes the area of the system efficient in the throughput and several DA based structures were designed in order to minimize the area and to reduce the cost of processing [4]. The primary operations necessary for DA-based processing are a series of accesses to a lookup table (LUT), preceded by the LUT output's shift-accumulation operations. The standard framework of DA used to implement the FIR filter implies that the coefficients of the impulse response are fixed and this action allows use of ROM based LUTs. However, with linear filter order the memory requirement for Distributed Arithmetic implementation of FIR filters rises exponentially is one of the hard problems to be addressed [5]. The key contributions of this research are: - Develop systolic array architecture with tightly coupled co-processor based data processing units. - Develop optimization algorithms with optimizations incorporated into LUT layer with architecture extractions and propose bio inspired computing architecture to compute FIR filters at high processing speeds using reconfigurable computing based on DA strategy. 2. RELATED WORK Modular finite-impulse response (FIR) filter whose filter coefficients switch dynamically during latency, which plays a major role in architectures for software-defined radio (SDR), multi-channel filters, bi- inspired computing and digital up/down converters. However, when the filter coefficients vary dynamically, the well-known multiple constant multiplication (MCM)-based methods that are widely used to realize the FIR filters cannot be used. Addressing to the solution to the problem of such large memory requirement, systolic decomposition techniques are utilized for DA-based implementation of long-length convolutions and FIR filter of large orders. It is necessary to use rewritable RAM based LUT instead of ROM based LUT for reconfigurable DA based FIR filter whose filter coefficients alter dynamically. Another method is to store the analog domain coefficients using serial digital to analog converters, resulting in mixed-signal architectures [6]. A pipelined design for an adaptive FIR filter carry out the save accumulation technique which is used for partial inner product calculation that facilitates in enhancing the throughput with block processing is utilized in increasing the computational speed of the system. On the other hand, a particular multiplier-based structure requires a wide chip region, and thereby controls limitations on the highest allowable order of the filter that can be interpreted for high-throughput applications [7]. In recent years, distributed arithmetic (DA)- based technique has gained substantial popularity due to its high capacity for processing throughput and increased regularity, resulting in cost-effective and area-time efficient computing structures. The primary operations required for DA-based processing are a sequence of accesses to a lookup table (LUT), followed by the LUT output's shift-accumulation operations [8]. The conventional implementation of the DA used to implement the FIR filter assumes that the coefficients of the impulse response are fixed and this behavior allows the use of ROM based LUTs. However, with the filter order the memory requirement for DA-based implementation of FIR filters increases exponentially [9]. The systolic decomposition techniques are used to get rid of the problem of such a large memory requirement. For long-length convolutions and large-order FIR filter for DA-based implementation, we must use rewritable RAM based LUT instead of ROM based LUT for reconfigurable DA-based FIR filter whose filter coefficients change dynamically. Another approach is to store the coefficients in the analog domain by using serial digital to analog converters resulting in mixed-signal architecture. We also find quite a few works on DA based implementation of adaptive filters, where the coefficients change at every cycle [10]. 3. PROPOSED METHOD AND ALGORITHM DESIGN Distributed arithmetic is a popular architecture without the use of multipliers to implement FIR filters. DA makes efficient use of LUTs, shifters, and adders to calculate the sum of products required for FIR filters. Since these operations effectively map onto an FPGA, Distributed arithmetic on these devices is a favourable architecture [11]. The Figure 1 illustrates the experimental design of the research work presented in this manuscript. Distributed Arithmetic is a prominent architecture without the use of multipliers to implement FIR filters. DA makes efficient use of LUTs, shifters, and adders to calculate the sum of multiplication factors needed for FIR filters. Though distributed arithmetic implements the FIR filter by serialization bits of inputs, a filter quantisation is required. Due to the fixed data path requirements in input analog to digital converter (ADC)
  • 3. Int J Elec & Comp Eng ISSN: 2088-8708  Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth) 1711 and the output digital to analog converter (DAC) widths the length of the word with 12 bit input and output with 11 fractional bits are assumed to be required to quantize the FIR filter [12]. Figure 1. Block diagram of experimental design After the quantization process the HDL Code is generated with DA architecture. The HDL code generator uses distributed arithmetic architecture, and partitions the look-up-table (LUT) into a specified number of LUT partitions with the range of taps each partition associates. It is best to divide the taps into a number of LUTs for a filter with many taps, with each LUT storing the sum of coefficients only for the taps that are associated with it. The FIR filter structure has symmetric coefficients, and we consider converting the structure to reduce the area. Here we convert the filter structure to direct form symmetric and generate the HDL code for default radix of 2. In hardware, a symmetrical filter structure offers advantages, as it halves the number of coefficients to work with which substantially reduces the complexity of the hardware. The predefined architecture is an implementation of Radix 2 that runs on one bit of input data per clock period. Before an output is obtained, the number of clock phases elapsed is equal to the number of bits in the input data and DA may effectively limit the throughput. DA can be configured to process multiple bits in parallel, to improve the DA throughput. The processing of 12 bits at a time for a 12 bit input word length can be specified with the corresponding DA-Radix values of 212 . The speed vs. area is trade off by selecting different 'DARadix' values and the amount of parallel bits illustrates the factor with the increased rate of the clock which is the number of cycles to perform an iteration [13]. The Tables 1-3 elucidate the information of DA architecture. The Table 1 depicts the 'DARadix' values with corresponding values of number of cycles to perform an iterationand multiple for LUT sets for the given filter. Further Table 2 illustrates the details of LUTs with corresponding 'DALUTPartition' values. Details of LUT indicate number of LUTs with the sizes of LUT for example (1x1024x18) implies 1 LUT of 1024 18-bit wide locations [14]. Table 1. 'DARadix' values with number of cycles to perform an iterationand multiple for LUT sets Folding Factor LUT Sets Multiple DA Radix 1 12 212 2 6 26 3 4 24 4 3 23 6 2 22 12 1 21
  • 4.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718 1712 Table 2. Details of LUTs with corresponding partitions of DA-LUT Address Width Size (bits) LUT DA LUT Partition W12 b’259072 [1024x13], [13x4096], [14x4096], [15x4096], [18x4096] (12) (12) (12) (12) (10) W11 b’147544 [2x2048x14], [1x2048x18], [2x2048x13], [1x8x11] (11) (11) (11) (11) (11) (3) W10 b’78080 [1x1024x18], [3x1024x13], [1x1024x16], [1x256x13] (10) (10) (10) (10) (10) (8) W09 b’43712 [1x512x12], [1x512x14], [1x512x18], [1x16x12], [2x512x13], [1x512x15] (9) (9) (9) (9) (9) (9) (4) W08 b’25384 [1x4x10], [1x256x14], [1x256x18], [4x256x13], [1x256x15] (8) ( 8) (8) (8) (8) (8) (8)( 2) W07 b’14248 [1x128x14], [1x128x16], [1x4x10], [2x128x12], [3x128x13], [1x128x18] (7) (7 )(7) (7) (7) (7) (7) (2) W06 b’8000 [4x64x12], [1x16x12], [1x64x17], [2x64x14], [1x64x16], [1x64x13] one(9,1)*(6), (4) W05 b’4696 [1x8x11], [4x32x12], [3x32x13], [1x32x15], [1x32x14], [1x32x17], [1x32x11] one(1,11)*(5), (3) W04 b’2904 [1x16x15], [5x16x12], [2x16x13], [2x16x14], [1x16x17], [1x4x10], [3x16x11] one(1,14)*(4),(2) W03 b’1926 [8x8x12], [2x8x14], [2x8x15], [1x8x17], [1x2x7], [5x8x11], [1x8x13] one(1,19)*(3), 1 W02 b’1412 [12x4x11], [2x4x13], [2x4x15], [1x4x17], [2x4x10], [6x4x12], [4x4x14] one(1,29)*(2) Table 3. Tabular column of complete twiddle factor for each LUT inputs Folding Factor LUT Inputs LUT Size LUT Details 1 LUT4 S(34848) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x15, 1x16x17, 3x16x11)x12 2 LUT4 S(17424) (3x16x17, 5x16x12, 2x16x14, 2x16x13, 1x16x15, 1x16x11, 1x4x10)x6 3 LUT4 S(11616) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15, 3x16x11)x4 4 LUT4 S(8712) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x3 6 LUT4 S(5808) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x2 12 LUT4 S(2904) (1x4x10, 5x16x12, 2x16x14, 2x16x13, 1x16x17, 1x16x15,3x6x11)x1 As depicted in Table 3, if it is required to increase the clock rate by four scales the sampling frequency and utilize six input LUTs then we can verify that the details of LUT meets the area requirements. Next a test bench is designed with a standard setup, and uses a simulator to verify the generated code for distributed arithmetic architecture [15]. The synthesis tool is utilized to compare the area and speed of the DA architecture. The Algorithm 1 illustrates the performance analysis and optimation of LUT layer. As shown in Algorithm 2, the cost function could be any arbitrary parameters delay, power or power delay multiplication (PDM) returned from optimized LUT. Algorithm 1: Performance analysis and optimization of LUT layer Result: Optimization of LUT Layer Start Optimize LUT (Addr bits: k, num LUTs: m) Delay(LUTi,1) ← dlut[j] ; for all j set of [k] Power(LUTi,1)← plut[j] for all j set of [k] Power Delay(LUTj,1)← pdlut[j]; for all j set of [k] While {Read the Input Parameters}{ for (i=2; i <= k; i++) for (j=2; j <= m; j++) else If{Perform Optimization} { Delay(LUTi,j)←minu{max{ dlut[u] +D(LUTi-u,j-1)} }; P(LUTi,j)←minima w{plut[w] + Power(LUTi-w,j-1)}; PD(LUTi,j)←minima{Delay(LUTi,j.Power Utilization (LUTi,j)}; end for }{Compute:return Delay(LUTk,m), Power(LUTk,m) Power Delay(LUTk,m)} Calculate the performance; } Stop Algorithm 2: Algorithm steps to optimized architecture extractions Result: Optimized Architecture Extractions Start; Define parameters; While {Read the Input Parameters} { Architecture Optimize (N:Filter Order):
  • 5. Int J Elec & Comp Eng ISSN: 2088-8708  Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth) 1713 Optimized Solution← infinity; Select cost from (Delay | Power | Power Delay Muliplication) for (i=1; i <= N; i++) for (j=1; j <=i; j++) else If{Perform Optimization} { ArchCost = cost(OptimizeLUT(i,j)) if (Architecture Cost ) Optmized response←Architecture Cost; end for end for } Compute: return: Optimum Solution Calculate the performance; Stop } 4. RESULTS AND DISCUSSIONS The fixed point settings are applied in order to obtain the characteristic plot of magnitude response (dB) indicating the curves between the magnitude (dB) and the normalized frequency (π radians per sample) with the comparison between reference and quantized filter as depicted in Figure 2(a). The characteristic plot representing the complete design specification of DA FIR filter along with the Log magnitude (dB) and phase (degrees) is as depicted in Figure 2(b). In this case the full precision override is not considered and custom coefficient data type is considered in the design. With the optimizations addressed by variations in architectural level enhancements using DA concept of digital filtering which improves device utilization [16, 17]. (a) (b) Figure 2. Plot of (a) magnitude response (dB), (b) log magnitude (dB)-phase (degrees) Here the clock rate is four times the input sample rate for this architecture and the effective filter length for serial partition value is 58 along with three samples of HDL latency, achieved with the FIR compiler and the corresponding frequency response diagram obtained in FIR compiler is as depicted in Figure 3(a) and with reference to this the pole-zero (P-Z) diagram is as depicted in Figure 3(b). Because of mid-stage pipelining, the entire architecture is split into two sections, namely the input section and the output section. Here the power consumption of the DA architecture is estimated at 20 MHz frequency and the final DA architecture is designed using the systolic rearrangement of delay elements. The preconfigured logic functions, that is the intellectual property (IP) cores optimized for FPGAs is generated using FIR compiler and Figure 4 illustrates the block design to verify the DA FIR filter responses as obtained in the Figure 2 and Figure 3.
  • 6.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718 1714 (a) (b) Figure 3. Plot of (a) frequency response (dB), (b) pole-zero (P-Z) diagram Figure 4. A system construct of DA-FIR filter optimized for ZYNQ FPGA As depicted in Figure 4 the RAM based shift register is having 16 bit width and 16 bit depth is configured as a circular buffer and it is initialized with memory initialization radix and memory initialization vector of 16-bits as arbitary waveform generator and on every cycle of 100 MHz clock, the shift RAM outputs the last sample first and proceeds towards the initial sequence and loops back. Further the complete DA FIR filter is processed using the ZYNQ FPGA as a special purpose tightly coupled processor. The Figure 5 illustrates the performance evaluation of the design with behavioral simulation of DA FIR Filter obtained in Xilinx ISE environment with phase (phase 0, 3) and serial (serial out 1, 2, 3) and the Figure 6 depicts the performance evaluation with analysis of filter coefficient values. The Figure 7 compares the proposed DA FIR filter design with the previous designs available in [18-21] in terms of number of multipliers versus the filter order as depicted in Figure 7(a). In Figure 7(b) the number of adders versus order of Filter is illustrated along with the LUT optimization with number of LUTs versus order of filter in Figure 7(c), the Figure 7(d) represents the number of registers versus the filter order. The estimated delay based on (Gate delay-DG) for Distributed Arithmetic unit of LUT, LUT-less and
  • 7. Int J Elec & Comp Eng ISSN: 2088-8708  Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth) 1715 proposed architecture implementation [22, 23] is as shown in the Figure 8. Here the delay of the proposed architecture is 14 % (for 8-order filter) and 64.7% (for 140-order filter) less delay in comparison of LUT-less architecture [24, 25]. Figure 5. Performance evaluation with simulation of DA-FIR Filter with phase, and serial outputs Figure 6. Performance evaluation with simulation of DA-FIR Filter with filter coefficients Figure 7. Comparisons of previous research with proposed research with filter order versus (a) Number of multipliers, (b) Number of adders, (c) Number of LUT’s, (d) Number of registers
  • 8.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718 1716 Figure 8. Estimated gate delay of FIR Filters for DA based architectures The Table 4 illustrates the comparison of the obtained synthesis results of the proposed research work with the previously published literature. Here the factor B is denoted as bit width of the filter coefficients. Further extending this concept in the following mathematical formulae compares the hardware and time complexities of our proposed DA-FIR structures with other filter structures. Here if: B: = bit width of filter coefficients, M: = image width, TM: = delay of multiplier, TPA: =delay of parallel adder) Then: T1 =TPA + 2.TFA (log2 N −1), TDAHLUT =2TPA, TPU = TMUX + TSAB, TSAB = TFA + TXOR + TD Table 4. Synthesis results comparison of proposed structure with past works Design Minimum Sampling Period(ns) Area(μm2 ) Power (mw) Area Delay Product (μm2 ns) Energy per output Throughput (MHz) [26] B = 8, 11.79 B = 16, 13.3 1,720,962.1471 12,661,783.52 50.0106 181.165 2,537,558 10,550,431 312.56 566.14 678.19 1200 [27] B = 8, 13.01 B = 16, 14.65 356,293.0216 1,154,123.0880 9.3807 26.9941 4,636,084 16,907,903 1319.07 312.56 76.80 68.25 [28] B = 3, 2.11 B = 7, 2.34 B= 15, 2.41 98,266.83 412,267.34 1,734,743.62 2.47 8.91 36.48 207,343.01 964,704.78 4,180,732.12 1235 4555 18,240 - This Work B = 8, 6.73 B = 16, 6.92 651,615.4709 4,936,081.6759 20.1069 102.3432 531,880 2,131,770 175.66 319.82 1325.11 2325.48 The TMUX, TFA, TXOR and TD are the delay of MUX, full adder, XOR gate and D flip-flop, respectively. This comparison of time complexities and hardware of proposed DA-FIR designs with other filter designs is as depicted in Table 5. The Table 4 illustrates our best solution and compares the obtained parameters of our synthesis results with previous works in terms of numerical values of (MSP)-Minimum Sampling Period(ns), Area(μm2 ), Power(mw) ,(ADP)-Area Delay Product(μm2 ns), Energy per output, Throughput(MHz) [29, 30]. Further the Table 5 compares the obtained results in our work with previous works with numerically addressing with mathematical formulas of various parameters such as Throughput, multipliers, adders and registers [31, 32]. The implementation of multi-core computing system is done on the ZYNQ platform with the use of VERILOG language to program and compile the framework [33].
  • 9. Int J Elec & Comp Eng ISSN: 2088-8708  Design and implementation of DA FIR filter for bio-inspired computing architecture (B. U. V. Prashanth) 1717 Table 5. Time complexities and hardware of proposed DA-FIR designs with other designs Design Throughput Multipliers Adders Registers [34] L/(TM + T1) M 2 M 2 −1 (M +N)(M −1) [35] 1/(TM +2TPA) MN 2 L([NxN] −1) (M +N)(M −1) This Work N/(3TPA + 2.B.TPU) - N(7(L + N) − 26) +L((3M 2 /5) − 1) N(M −1)+N.Lc 5. CONCLUSIONS The VHDL is used implement the proposed DA finite implse response filter and the design is verified using simulation. The calculated theoretical values of the design match with obtained practical values in the real time simulation environment. Two optimization algorithms are proposed and the resulting optimizations are incorporated into LUT layer and architecture extractions of designed block. The proposed work offers an optimized design in the form of average reductions of number of LUT, reduction in populated slices and reduction in the number of gates for DA-finite impulse response filter implementation. This research paves a way for bio inspired computing architecture with reconfigurable computing strategies designed to avoid computationally intensive operations, achieving the desired specifications with respect to flexibility, timing, and performance. REFERENCES [1] C. S. Vinitha and R. K. Sharma, “New approach to low-area, low-latency memory-based systolic architecture for FIR filters,” Journal of Information and Optimization Sciences, vol. 40, no. 2, pp. 247-262, 2019. [2] A. Agarwal and L. Bopanna, “Low Latency Area-Efficient Distributed Arithmetic Based Multi-Rate Filter Architecture for SDR Receivers,” Journal of Circuits Systems and Computers, vol. 27, no. 8, pp. 1-21, 2018. [3] T. Xu, et al., “Efficient real-time digital subcarrier cross-connect based on distributed arithmetic DSP algorithm,” in Journal of Lightwave Technology, vol. 38, no. 13, pp. 3495-3505, 2020. [4] D. Datta, et al., “FPGA implementation of high performance digital down converter for software defined radio,” Microsystem Technologies, 2019. [5] B. K. Mohanty and Pramod K. M., “An Efficient Parallel DA-Based Fixed-Width Design Approx Inner-Product Comp,” IEEE Transactions on Very Large Scale Integration Systems, vol. 28, no. 5, pp. 1221-1229, 2020. [6] P. Kumar, et al., “ASIC implementation of area efficient highthroughput 2-D IIR filter using distributed arithmetic,” Circuits Syst. Signal Process, vol. 37, no. 7, pp. 2934-2957, 2018. [7] G. N. Jyothi, et al., “ASIC implementation of distributed arithmetic based FIR filter using RNS for high speed DSP systems,” International Journal of Speech Technology, vol. 23, pp. 259-264, 2020. [8] G. N. Jyothi and S. Sridevi, “High speed and low area decision feed-back equalizer with novel memory less distributed arithmetic filter,” Multimedia Tools and Applications, vol. 78, no. 23, pp. 79-93, 2019. [9] P. V. P. Sundar, et al., “Low power area efficient adaptive FIR filter for hearing aids using distributed arithmetic architecture,” International Journal of Speech Technology, vol. 2, pp. 1-10, 2020. [10] M. R. Ahmed and B. K. Sujatha, “A review on methods, issues and challenges in neuromorphic engineering,” 2015 International Conference on Communications and Signal Processing (ICCSP), 2015, pp. 0899-0903. [11] K. Vijetha and B. R. Naik, “High performance area efficient DA based FIR filter for concurrent decision feedback equalizer,” International Journal of Speech Technology, vol. 3, pp. 1-7, 2020. [12] X. Lou, et al., “Lower bound analysis perturbation critical path for area-time efficient multiple constant multiplications,” IEEE Trans.Comput. Aided Des. Integr. Circuits Syst, vol. 36, no. 2, pp. 313-324, 2016. [13] M. D’Arco, et al., “Digital Circuit for Seamless Resampling ADC Output Streams,” Sensors, vol. 20, no. 6, p. 1619, 2020. [14] M. R. Ahmed and B. K. Sujatha, “A review of reinforcement learning in neuromorphic VLSI chips using computational cognitive neuroscience,” International Journal of Advanced Research in Computer and Communication Engineering, vol. 2, no. 8, pp. 3315-3320, 2013. [15] L. Cao, et al., “Hardware-efficient implementation of digital FIR filter using fast first-order moment algorithm,” MIPPR2017: Parallel Process of Images,Optimization Techniques; and Medical Imaging, vol. 10610, 2018. [16] H. Yao, et al., “Experimental demonstration of 4-PAM for high-speed indoor free-space OW communication based on cascade FIR-LMS adaptive equalizer,” Optics Communications, vol. 426, pp. 490-496, 2018. [17] E. Chitra, et al., “Analysis and implementation of high performance reconfigurable FIR filter using distributed arithmetic,” Wireless Personal Communications, vol. 102, no. 4, 2018. [18] H. Jiang, et al., “A review, classification, and comparative evaluation of approximate arithmetic circuits,” ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 13, no. 4, 2017. [19] G. Sanchez, et al., “A highly scalable parallel spike-based digital neuromorphic architecture for high-order fir filters using LMS adaptive algorithm,” Neurocomputing, vol. 330, 2019. [20] B. K. Mohanty, et al., “LUT Optimization for D.A-Based Block Least Mean Square Adaptive Filter,” IEEE Transactions on Very Large Scale Integration Systems, vol. 24, no. 5, pp. 1926-1935, 2016. [21] M. Sharma and S. K. Singh, “New Technique Based Peasant Multiplication for Effcient Signal Processing Applications,” Indonesian Journal of Electrical Engineering and Computer Science (IJEECS), vol. 8, no. 3, pp. 726-729, 2017.
  • 10.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 11, No. 2, April 2021 : 1709 - 1718 1718 [22] M. T. Khan and R. A. Shaik, “Optimal complexity architectures for pipelined D.A-based LMS adaptive filter,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 2, pp. 630-642, 2018. [23] H. Jiang, et al., “A high-performance and energy-efficient FIR adaptive filter using approximate D.A circuits,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 1, pp. 313-326, 2018. [24] M. Sumalatha, et al., “Low power and low area VLSI implementation of vedic design FIR filter for ECG signal de- noising,” Microprocessors and Microsystems, vol. 71, pp. 1-13, 2019. [25] R. K. Sarma, et al., “A novel time-shared and lut-less pipelined architecture for lms adaptive filter,” IEEE Transactions on Very Large Scale Integration Systems, vol. 28, no. 1, pp. 188-197, 2019. [26] B. U. V. Prashanth and M. R. Ahmed, “Design and Performance Analysis of Artificial Neural Network Based Artificial Synapse for Bio-inspired Computing,” International Conference on Computational Vision and Bio Inspired Computing, Springer, Cham, 2019, pp. 1294-1302. [27] B. U. V. Prashanth and M. R. Ahmed, “FPGA Implementation of Bio-inspired Computing Based D.L Model,” Advances in Distributed Computing and Machine Learning. Springer, Singapore, pp. 237-245, 2020. [28] M. Z. Alom, et al., “A state-of-the-art survey on deep learning theory and architectures,” Electronics, vol. 8, no. 3, p. 292, 2019. [29] A. Mahabub, “Design and implementation of cost-effective simple FIR filter for EEG signal on FPGA,” World Scientific News, vol. 125, pp. 1-17, 2019. [30] A. Mahabub, “Design and Implementation of a Novel Complete Filter for EEG Application on FPGA,” International Journal of Image, Graphics and Signal Processing, vol. 10, no. 6, pp. 22-30, 2018. [31] B. Khurshid and R. N. Mir, “An efficient FIR filter structure based on technology-optimized multiply-adder unit targeting LUT-based FPGAs,” Circuits, Systems, and Signal Processing, vol. 36, no. 2, pp. 600-639, 2017. [32] K. S. Reddy and H. Suresh, “A Low-Power VLSI Implementation of RFIR Filter Design using Radix-2 Algorithm with LCSLA,” IETE Journal of Research, pp. 1-10, 2019. [33] B. Srikanth, et al., “The enhancement of security measures in advanced encryption standard using double precision floating point multiplication model,” Wiley-Transactions on Emerging Telecommunications Technologies, pp. 1-13, 2020. [34] W. Zhao, et al., “A division-free and variable-regularized LMS-based generalized sidelobe canceller for adaptive beamforming and its efficient hardware realization,” IEEE Access, vol. 6 , pp. 64470-64485, 2018. [35] S. Dixit and D. Nagaria, “LMS Adaptive Filters for Noise Cancellation: A Review,” International Journal of Electrical and Computer Engineering, vol. 7, no. 5, pp. 2520-2529, 2017.