SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015
ISSN: 2395-1303 http://www.ijetjournal.org Page 18
Dynamic Power reduction of synchronous digital design by
using of efficient clock gating technique
Dushyant Kumar Soni1
, Ashish Hiradhar2
1(Department of Electronics & Communication
Dr. C. V. Raman University Kargi road Kota Bilaspur (C.G.), India)
2 (Department of Electronics & Communication
Dr. C. V. Raman University Kargi road Kota Bilaspur (C.G.), India)
I. INTRODUCTION
The introduction of integrated circuits (ICs),
commonly referred to as microchips or simply chips,
was accompanied by the need to test these devices.
Small-scale integration (SSI) devices, with tens of
transistors in the early 1960s, and medium scale
integration (MSI) devices, with hundreds of
transistors in the late 1960s, were relatively simple
to test. However, in the 1970s, large-scale
integration (LSI) devices, with thousands and tens
of thousands of transistors, created a number of
challenges when testing these devices. In the early
1980s, very-large-scale integration (VLSI) devices
with hundreds of thousands of transistors were
introduced. Steady advances in VLSI technology
have resulted in devices with hundreds of millions
of transistors.
One of the major dynamic power reduced by clock
gating method in computing and consumer
electronics products in the overall system’s clock
signal then reduce the 30%–70% of the total
dynamic power consumption and then reduce the
overall circuit power to reduce15- 20% of Grouping
Flip Flop data driven clock gating method [1].Clock
gating is major method of reducing clock signal.
Generally, when a logic unit is clocked, it is based
on the sequential elements receiving the clock
signal, sequentially they will toggle in the next
cycle whether it is required or not. The data driven
clock gating circuit using clock enabling signals are
manually added for every FF as a part of a design
methodology [1]. With clock gating, the clock
signals are ANDed with explicitly predefined
enabling signals. Clock gating is employed at all
levels of system architecture, block design, logic
design, and gates. Several methods to take
advantage of this technique are described, with all
of them depending on various heuristics in an
attempt to increase clock gating opportunities. With
the rapid increase in design complexity, computer
aided design tools supporting system-level
hardware description have become commonly used.
Although substantially increasing design
productivity, such tools require the employment of
RESEARCH ARTICLE OPEN ACCESS
Abstract:
Clock gating is an effective method of reducing the dynamic power consumption in synchronous circuits.
One of the ways to achieve this is by masking the clock that goes to the idle portion of the circuit. In This paper
we will present a comparative analysis of existing clock gating techniques on some synchronous digital design
like ALU (Arithmetic logical unit) etc. Also a new clock gating technique that provides more immunity to the
existing problem in available technique. In new proposed clock gating the Gated Clock Generation Circuit is using
tri state buffer and Gated logic is used which is created by the combination of double gated (AND, OR, AND
logic gate) with bubbled input respectively. This circuit saves power even when Target device's clock is ON. All
experiments are done on Xilinx14.1 EDA tool. Mentor Graphics Model SIM. For power calculation we are using
XPOWER. Sparten-3 (90nm) FPGA platform is used for result and analysis. The proposed design will reduce the
hardware complexity with approximately 10-20%. Similar clock power complexity will reduce with 5-10%.
Keywords — Tri state buffer; VLSI; Xilinx; glitches; hazards;Sparten.
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015
ISSN: 2395-1303 http://www.ijetjournal.org Page 19
a long chain of automatic synthesis algorithms,
from register transfer level (RTL) down to gate
level and net list . Unfortunately, such automation
leads to a large number of unnecessary clock
toggling, thus increasing the number of wasted
clock pulses at flip-flops (FFs) as shown in this
paper through several industrial examples.
A. Dynamic power
The power dissipated when circuit is
switching from one state to another or ON state.
The switching power of a single gate can be
expressed as
P
Dynamic
= C
L
V
DD
2
f
Where f is the clock frequency, C
L
is the switching
capacitance, V
DD
is the supply voltage. Reducing
the dynamic power dissipation is obtained by the
methods of reducing any of the variables in this
equation. [5]
B. Dynamic power reduction technique
Though the leakage power increases
significantly in every generation with technology
scaling, the dynamic power still continues to
dominate the total power dissipation of the general
purpose microprocessors. Effective circuit
techniques to reduce the dynamic power
consumption include transistor size and
interconnect optimization, gated clock, multiple
supply voltages and dynamic control of supply
voltage. Incorporating the above approaches in the
design of nano-scale circuits, the dynamic power
dissipation can be reduced significantly.[4]
C. Clock gating
Clock gating is an effective way of reducing
the dynamic power dissipation in digital circuits. In
a typical synchronous circuit such as the general
purpose microprocessor, only a portion of the
circuit is active at any given time. Hence, by
shutting down the idle portion of the circuit, the
unnecessary power consumption can be prevented.
One of the ways to achieve this is by masking the
clock that goes to the idle portion of the circuit.
This prevents unnecessary switching of the inputs
to the idle circuit block, reducing the dynamic
power.[19]
II. PROBLEMS IN PREVIOUS TECHNIQUES
• In AND gate clock gating we have output
correctness problem due to glitches and
hazards.
• In NOR gate clock gating we have output
correctness problem due to glitches and
hazards.
• In Latch based AND gate clock gating
hazards problem is removed but glitches
problem still exists.
• In Latch based NOR gate clock gating
hazards problem is removed but glitches
problem still exists.
• In MUX based clock gating requires an
expensive MUX per bit and consumes more
power and hardware.
• In FF based clock gating the sleep period is
longer so there is a greater chance to change
that happens on Enable signal.
• In Positive level sensitive Latch based clock
gating more hardware is required.
• In T flip flop based clock gating the gate
count is maximum compare to other.
• In Double gated flip flop based clock gating
the gate count is more and also more power
consumption.
• In Gated clock using negative latch based
clock gating we have large hardware
problem.
In previous all clock gating approaches are
facing with the problem of size means those
approach which are require less size there is some
other issue of glitches and those approaches which
having large size so there is no any glitches
problem but those structure are increase static
problem.[19]
In all previous approach only few approaches
will reduce the clock power but still some are
facing the problem of clock power.[19]
In some previous architecture there is need of
extra input and output pins as we know for VLSI
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015
ISSN: 2395-1303 http://www.ijetjournal.org Page 20
chip increase in input and output pins will increase
the cost of the whole process.
III. PROPOSED APPROACH
Here we will discuss a new design that will save
more power and area. The new Gated Clock
Generation Circuit is shown in below figure using
tri state buffer and Gated logic is used which is
created by the combination of double gated (AND,
OR, AND logic gate) with bubbled input
respectively. This circuit saves power in such a way
that even when Target device's clock is ON, the
controlling device's clock is OFF and also when the
target device's clock is OFF then also Controlling
device's clock is OFF. This way we can save more
power by avoiding unnecessary switching at clock
net . This clock gating design is on at posedge and
off at negedge means clock power and dynamic
power is save at the time of negedge clock. To
understand the working of circuit , an input signal
named Clk is provided to the And , And, OR and
tri-state buffer.
Figure 1. Proposed Clock gating Logic
IV. IMPLEMENTATION DETAIL
We have implemented different type of clock
gating techniques and proposed technique to reduce
power. All the techniques are performed at different
technology with temperature, voltage and frequency
variation and their Dynamic, static and total power
has been computed, In this we are applying clock
gating techniques on a 8bit Arithmetic logical unit
(ALU). The results are in tables shown below. All
experiments are done on Xilinx14.1 EDA tool.
Mentor Graphics Model SIM. For power
calculation we are using XPOWER. Sparten-3
(90nm) FPGA platform is used for result and
analysis.
Figure 2. Schematic of Proposed Clock Gating
When clk turns to '1' at that time En output is '0' ,
and double gated (AND, OR and AND gate) with
posedge clock will produce output ='1' which goes
to the first clock generation logic that generates
clock for controlling device. In first logic we have
an TRI gate which have Global Clock as an input at
the other input of ground. This logic will generate a
clock pulse that will drive the controlling latch
when 'x' turns to '1'.In the next clock pulse, when
CLK turns to '0' clk at its input and when Gen goes
'1' it generates clock pulse that goes to the target
device. This design only requires 4 logic gates it
will save dynamic and clock power. This design
will make a justice with spa metrics and also there
is no any glitches problem in our design.
TABLE I. COMPARISON OF POWER FOR PROPOSED TRISTATE BUFFER
CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION
Voltage 90nm Technology
Dynamic Static Total
1.14 0.083 0.027 0.11
1.2 0.087 0.028 0.114
1.26 0.119 0.029 0.119
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015
ISSN: 2395-1303 http://www.ijetjournal.org Page 21
TABLE II. COMPARISON OF FREQUENCY FOR PROPOSED TRISTATE
BUFFER CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION
Frequency 90nm Technology
Dynamic Static Total
10G Hz 1.272 0.034 1.306
7G Hz 0.901 0.031 0.932
5G Hz 0.642 0.030 0.673
TABLE III. COMPARISON OF TEMPERATURE FOR PROPOSED TRISTATE
BUFFER CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION
Temperature 90nm Technology
Dynamic Static Total
25 0.201 0.276 0.477
50 0.201 0.369 0.570
75 0.201 0.508 0.709
Table I, II and III represents the comparison
of dynamic, static and total power with various
voltages (1.14,1.2and1.26), various frequencies (10,
7 and 5Hz) and various temperatures (25,50and
75degree)
TABLE IV. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK
GATING TECHNIQUES AT 90NM TECHNOLOGIES OPERATING AT 1.14 VOLTAGE
Clock Gating Technique 90 nm Technology
Without clock gating 0.220
AND gate based 0.137
Latch based 0.115
MUX based 0.146
Flip flop based 0.137
Positive level sensitive
latch based
0.136
T-FF based 0.149
Double gated based 0.203
Negative latch based 0.125
Proposed 0.083
In table IV the comparison of Dynamic
power at 1.14 voltage for various clock gating is
shown at 90 nm technology which shows that the
proposed clock gating consumes less Dynamic
power as compare to other different types of clock
gating that means the proposed clock gating is
better as compared to other previous techniques.
TABLE V. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK
GATING TECHNIQUES AT DIFFERENT TECHNOLOGIES OPERATING AT 10GHZ
FREQUENCY
Clock Gating Technique 90 nm
Without clock gating 2.215
AND gate based 1.378
Latch based 1.375
MUX based 1.365
Flip flop based 1.375
P Positive level sensitive
latch based
1.392
T-FF based 1.512
Double gated based 1.387
Negative latch based 1.25
Proposed 1.272
In table V the comparison of Dynamic
power at 10 GHz frequency for various clock gating
techniques is shown at 90 nm technology which
shows that the proposed clock gating consumes less
Dynamic power at that particular frequency as
compare to other different types of clock gating
techniques that means the proposed clock gating is
better as compared to other previous techniques.
TABLE VI. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK
GATING TECHNIQUES AT DIFFERENT TECHNOLOGIES OPERATING AT 25 DEGREE
TEMPERATURE
Clock Gating Technique 90 nm technology
International Journal of Engineering and Techniques
Without clock gating 0.223
AND gate based 0.14
Latch based 0.158
MUX based 0.149
Flip flop based 0.204
Positive level sensitive
latch based
0.141
T-FF based 0.153
Double gated based 0.141
Negative latch based 0.141
Proposed 0.201
In table VI the comparison of Dynamic
power at 25 degree temperature for various clock
gating is shown at 90 nm technology which shows
that the proposed clock gating consumes less
Dynamic power at that particular temperature as
compare to other different types of clock gating
techniques that means the proposed clock gating is
better as compared to other previous techniques.
Figure 3 Comparison of clock power for various clock gating
We know that the previous clock gating techniques
the techniques which reduces the more dynamic
power consumption will have large hardware
requirement and techniques which requires small
hardware will consumes more dynamic power.
From figure 8 it is clear that the proposed clock
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -
0.223
0.14
0.158
0.149
0.204
0.141
0.153
0.141
0.141
0.201
In table VI the comparison of Dynamic
power at 25 degree temperature for various clock
gating is shown at 90 nm technology which shows
that the proposed clock gating consumes less
Dynamic power at that particular temperature as
types of clock gating
techniques that means the proposed clock gating is
better as compared to other previous techniques.
Comparison of clock power for various clock gating
We know that the previous clock gating techniques
ch reduces the more dynamic
power consumption will have large hardware
requirement and techniques which requires small
hardware will consumes more dynamic power.
From figure 8 it is clear that the proposed clock
gating consumes less dynamic power (only 82m
as compare to other techniques.
Figure 4 Gate count comparison of Proposed and existing
approaches.
as well as it requires very less hardware (only 4
logic gates) that means the proposed clock gating
approach is very good technique because it
consumes less dynamic power also requires less
hardware.
V. CONCLUSIONS
We conclude the dissertation with a brief s
of contributions.
Contributions:
The key contribution of this paper is to develop a
new clock gating technique with low area overhead
and improved performance of the digital circuit.
The increase in dynamic power consumption makes
the system unreliable, so to control the dynamic
switching power various techniques are studied and
analyzed to reduce it. A New double gated tri state
based clock gating technique is proposed with low
area overhead. Comparative analysis shows that the
proposed technique impacts on the dynamic power
reducing up to 2-3 folds in compare to conventional
one. The proposed design will reduce the hardware
complexity with approximately 10
clock power complexity will reduce with 5
All the analysis is done on a 8 bit ALU with process
variation parameters.
-June 2015
gating consumes less dynamic power (only 82mW)
as compare to other techniques.
Gate count comparison of Proposed and existing
as well as it requires very less hardware (only 4
logic gates) that means the proposed clock gating
approach is very good technique because it
consumes less dynamic power also requires less
the dissertation with a brief summary
The key contribution of this paper is to develop a
new clock gating technique with low area overhead
and improved performance of the digital circuit.
The increase in dynamic power consumption makes
the system unreliable, so to control the dynamic
power various techniques are studied and
analyzed to reduce it. A New double gated tri state
based clock gating technique is proposed with low
area overhead. Comparative analysis shows that the
proposed technique impacts on the dynamic power
3 folds in compare to conventional
The proposed design will reduce the hardware
complexity with approximately 10-20%. Similar
clock power complexity will reduce with 5-10%.
All the analysis is done on a 8 bit ALU with process
International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015
ISSN: 2395-1303 http://www.ijetjournal.org Page 23
REFERENCES
1. Bipul C. Paul, Amit Agarwal, Kaushik Roy, “Low-
power design techniques for scaled technologies”,
INTEGRATION, the VLSI journal, science direct
39(2006).
2. L. Hai, S. Bhunia, Y. Chen, K. Roy, T.N. Vijay
Kumar, “ DCG : deterministic clock gating for low-
power microprocessor design ”, IEEE Trans. VLSI
Syst. 12 (2004), pp.245-254.
3. Hai Li, Swarup Bhunia, Yiran Chen, T. N.
Vijaykumar, and Kaushik Roy, “Deterministic Clock
Gating for Microprocessor Power Reduction”,1285
EE Building, ECE Department, Purdue University
4. N. Raghavan, V. Akella, S. Bakshi, “Automatic
insertion of gated clocks at register transfer level”,
in: International Conference on VLSI Design, 1999,
pp. 48 – 54.
5. Kawa, J., "Low Power and Power Management for
CMOS—An EDA Perspective," Electron Devices,
IEEE Transactions on , vol.55, no.1, pp.186,196,
Jan. 2008
6. Borkar, S., "Design challenges of technology
scaling," Micro, IEEE, vol.19, no.4, pp.23, 29, Jul-
Aug 1999.
7. Sulaiman, D.R., "Using clock gating technique for
energy reduction in portable computers," Computer
and Communication Engineering, 2008. ICCCE
2008. International Conference on, vol., no., pp.839,
842, 13-15 May 2008.
8. Teng Siong Kiong; Soin, N., "Low power clock gates
optimization for clock tree distribution," Quality
Electronic Design (ISQED), 2010 11th International
Symposium on, vol., no., pp.488, 492, 22-24 March
2010.
9. Kathuria, J. ; Ayoubkhan, M. ; Noor, A. ; “A Review
of Clock Gating Techniques”, MIT International
Journal of Electronics and Communication
Engineering, August 2011, vol.1 no.2 , pp 106-114.
10. Shinde, J.; Salankar, S.S., "Clock gating — A power
optimizing technique for VLSI circuits," India
Conference (INDICON), 2011 Annual IEEE , vol.,
no., pp.1,4, 16-18 Dec. 2011.
11. Tomar, B.P.S.; Chaurasia, V.; Yadav, J.; Pandey, B.,
"Power Reduction of ITC'99-b01 Benchmark Circuit
Using Clock Gating Technique," Computational
Intelligence and Communication Networks (CICN),
2013 5th International Conference on , vol., no.,
pp.423,427, 27-29 Sept. 2013
12. Sterpone, L.; Carro, L.; Matos, D.; Wong, S.;
Fakhar, F., "A new reconfigurable clock-gating
technique for low power SRAM-based FPGAs,"
Design, Automation & Test in Europe Conference &
Exhibition (DATE), 2011 , vol., no., pp.1,6, 14-18
March 2011
13. Heřmánek, A.; Kuneš, M.; Tichý, M., "Reducing
Power Consumption of an Embedded DSP Platform
through the Clock-Gating Technique," Field
Programmable Logic and Applications (FPL), 2010
International Conference on , vol., no., pp.336,339,
Aug. 31 2010-Sept. 2 2010
14. Wimer, S.; Koren, I., "The Optimal Fan-Out of Clock
Network for Power Minimization by Adaptive
Gating," Very Large Scale Integration (VLSI)
Systems, IEEE Transactions on , vol.20, no.10,
pp.1772,1780, Oct. 2012
15. Yunlong Zhang; Qiang Tong; Li Li; Wei Wang; Ken
Choi; JongEun Jang; Hyobin Jung; Si-Young Ahn,
"Automatic Register Transfer level CAD tool design
for advanced clock gating and low power schemes,"
SoC Design Conference (ISOCC), 2012 International
, vol., no., pp.21,24, 4-7 Nov. 2012
16. Wimer, S.; Koren, I., "Design Flow for Flip-Flop
Grouping in Data-Driven Clock Gating," Very Large
Scale Integration (VLSI) Systems, IEEE Transactions
on , vol.PP, no.99, pp.1,1, 0
17. Pandey, B.; Yadav, J.; Pattanaik, M.; Rajoria, N.,
"Clock gating based energy efficient ALU design and
implementation on FPGA," Energy Efficient
Technologies for Sustainability (ICEETS), 2013
International Conference on , vol., no., pp.93,97, 10-
12 April 2013
18. Oliver, J.P.; Curto, J.; Bouvier, D.; Ramos, M.;
Boemo, E., "Clock gating and clock enable for FPGA
power reduction," Programmable Logic (SPL), 2012
VIII Southern Conference on , vol., no., pp.1,5, 20-23
March 2012
19. Dushyant kumar soni.; Ashish Hiradhar "A review
on existing Clock Gating." International journal of
Computer Science and Mobile Computing. Vol.4
Issue 3, March-2015,pg. 371-382.

Contenu connexe

Tendances

Voltage stability Analysis using GridCal
Voltage stability Analysis using GridCalVoltage stability Analysis using GridCal
Voltage stability Analysis using GridCalAnmol Dwivedi
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...OPAL-RT TECHNOLOGIES
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingWebinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingOPAL-RT TECHNOLOGIES
 
RT15 Berkeley | ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...
RT15 Berkeley |  ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...RT15 Berkeley |  ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...
RT15 Berkeley | ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...OPAL-RT TECHNOLOGIES
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013Vision Solutions
 
2017 Atlanta Regional User Seminar Introduction
2017 Atlanta Regional User Seminar Introduction2017 Atlanta Regional User Seminar Introduction
2017 Atlanta Regional User Seminar IntroductionOPAL-RT TECHNOLOGIES
 
2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos
2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos
2017 Atlanta Regional User Seminar - Real-Time Microgrid DemosOPAL-RT TECHNOLOGIES
 
Glitch Analysis and Reduction in Combinational Circuits
Glitch Analysis and Reduction in Combinational CircuitsGlitch Analysis and Reduction in Combinational Circuits
Glitch Analysis and Reduction in Combinational Circuitscsandit
 
Webinar | HIL Testing of Electric Transportation
Webinar | HIL Testing of Electric TransportationWebinar | HIL Testing of Electric Transportation
Webinar | HIL Testing of Electric TransportationOPAL-RT TECHNOLOGIES
 
IEEE International Conference Presentation
IEEE International Conference PresentationIEEE International Conference Presentation
IEEE International Conference PresentationAnmol Dwivedi
 
OPAL-RT eFPGAsim Power Electronic Real-time Simulator
OPAL-RT eFPGAsim Power Electronic Real-time SimulatorOPAL-RT eFPGAsim Power Electronic Real-time Simulator
OPAL-RT eFPGAsim Power Electronic Real-time SimulatorOPAL-RT TECHNOLOGIES
 

Tendances (18)

Voltage stability Analysis using GridCal
Voltage stability Analysis using GridCalVoltage stability Analysis using GridCal
Voltage stability Analysis using GridCal
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingWebinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
 
RT15 Berkeley | ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...
RT15 Berkeley |  ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...RT15 Berkeley |  ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...
RT15 Berkeley | ARTEMiS-SSN Features for Micro-grid / Renewable Energy Sourc...
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
Power Grid Cybersecurity
Power Grid CybersecurityPower Grid Cybersecurity
Power Grid Cybersecurity
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013
 
2017 Atlanta Regional User Seminar Introduction
2017 Atlanta Regional User Seminar Introduction2017 Atlanta Regional User Seminar Introduction
2017 Atlanta Regional User Seminar Introduction
 
Project_Report_Debargha
Project_Report_DebarghaProject_Report_Debargha
Project_Report_Debargha
 
2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos
2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos
2017 Atlanta Regional User Seminar - Real-Time Microgrid Demos
 
Glitch Analysis and Reduction in Combinational Circuits
Glitch Analysis and Reduction in Combinational CircuitsGlitch Analysis and Reduction in Combinational Circuits
Glitch Analysis and Reduction in Combinational Circuits
 
Webinar | HIL Testing of Electric Transportation
Webinar | HIL Testing of Electric TransportationWebinar | HIL Testing of Electric Transportation
Webinar | HIL Testing of Electric Transportation
 
IEEE International Conference Presentation
IEEE International Conference PresentationIEEE International Conference Presentation
IEEE International Conference Presentation
 
Iec61850 primer
Iec61850 primerIec61850 primer
Iec61850 primer
 
OPAL-RT eFPGAsim Power Electronic Real-time Simulator
OPAL-RT eFPGAsim Power Electronic Real-time SimulatorOPAL-RT eFPGAsim Power Electronic Real-time Simulator
OPAL-RT eFPGAsim Power Electronic Real-time Simulator
 

En vedette

En vedette (19)

[IJET V2I2P26] Authors: Pruthak C. Chauhan., Rinkesh S. Kansara, Mukesh P. Pa...
[IJET V2I2P26] Authors: Pruthak C. Chauhan., Rinkesh S. Kansara, Mukesh P. Pa...[IJET V2I2P26] Authors: Pruthak C. Chauhan., Rinkesh S. Kansara, Mukesh P. Pa...
[IJET V2I2P26] Authors: Pruthak C. Chauhan., Rinkesh S. Kansara, Mukesh P. Pa...
 
[IJET-V2I2P7] Authors:Madhumitha J, Priyadarshini D, Soorya Ramchandran
[IJET-V2I2P7] Authors:Madhumitha J, Priyadarshini D, Soorya Ramchandran[IJET-V2I2P7] Authors:Madhumitha J, Priyadarshini D, Soorya Ramchandran
[IJET-V2I2P7] Authors:Madhumitha J, Priyadarshini D, Soorya Ramchandran
 
[IJET-V1I3P3]
[IJET-V1I3P3][IJET-V1I3P3]
[IJET-V1I3P3]
 
[IJET-V1I3P2] Authors :Monali Madne, Prof.Manjusha Yeola
[IJET-V1I3P2] Authors :Monali Madne, Prof.Manjusha Yeola[IJET-V1I3P2] Authors :Monali Madne, Prof.Manjusha Yeola
[IJET-V1I3P2] Authors :Monali Madne, Prof.Manjusha Yeola
 
[IJET-V2I1P4] Authors:Jitendra Sharad Narkhede, Dr.Kishor B. Waghulde
[IJET-V2I1P4] Authors:Jitendra Sharad Narkhede, Dr.Kishor B. Waghulde[IJET-V2I1P4] Authors:Jitendra Sharad Narkhede, Dr.Kishor B. Waghulde
[IJET-V2I1P4] Authors:Jitendra Sharad Narkhede, Dr.Kishor B. Waghulde
 
[IJET-V1I6P1] Authors: Ms.Jare Dipali Y ,Ms.Khadse Shweta S ,Ms.Sawant Kalyan...
[IJET-V1I6P1] Authors: Ms.Jare Dipali Y ,Ms.Khadse Shweta S ,Ms.Sawant Kalyan...[IJET-V1I6P1] Authors: Ms.Jare Dipali Y ,Ms.Khadse Shweta S ,Ms.Sawant Kalyan...
[IJET-V1I6P1] Authors: Ms.Jare Dipali Y ,Ms.Khadse Shweta S ,Ms.Sawant Kalyan...
 
[IJET-V1I5P7] Author: Galal Ali Hassaan
[IJET-V1I5P7] Author: Galal Ali Hassaan[IJET-V1I5P7] Author: Galal Ali Hassaan
[IJET-V1I5P7] Author: Galal Ali Hassaan
 
[IJET-V1I4P5] Authors :Divya Lakshmi M , Dr. Ramesh R
[IJET-V1I4P5] Authors :Divya Lakshmi M , Dr. Ramesh R[IJET-V1I4P5] Authors :Divya Lakshmi M , Dr. Ramesh R
[IJET-V1I4P5] Authors :Divya Lakshmi M , Dr. Ramesh R
 
[IJET-V2I2P10] Authors:M. Dhivya, P. Jenifer, D. C. Joy Winnie Wise, N. Rajap...
[IJET-V2I2P10] Authors:M. Dhivya, P. Jenifer, D. C. Joy Winnie Wise, N. Rajap...[IJET-V2I2P10] Authors:M. Dhivya, P. Jenifer, D. C. Joy Winnie Wise, N. Rajap...
[IJET-V2I2P10] Authors:M. Dhivya, P. Jenifer, D. C. Joy Winnie Wise, N. Rajap...
 
[IJET-V2I1P13] Authors:Shilpa More, Gagandeep .S. Dhir , Deepak Daiwadney and...
[IJET-V2I1P13] Authors:Shilpa More, Gagandeep .S. Dhir , Deepak Daiwadney and...[IJET-V2I1P13] Authors:Shilpa More, Gagandeep .S. Dhir , Deepak Daiwadney and...
[IJET-V2I1P13] Authors:Shilpa More, Gagandeep .S. Dhir , Deepak Daiwadney and...
 
[IJET-V1I3P6]
[IJET-V1I3P6] [IJET-V1I3P6]
[IJET-V1I3P6]
 
[IJCT-V3I3P1] Authors: Sunny Sharma, Karandeep Kaur, Amritpal Singh
[IJCT-V3I3P1] Authors: Sunny Sharma, Karandeep Kaur, Amritpal Singh[IJCT-V3I3P1] Authors: Sunny Sharma, Karandeep Kaur, Amritpal Singh
[IJCT-V3I3P1] Authors: Sunny Sharma, Karandeep Kaur, Amritpal Singh
 
[IJCT-V3I2P32] Authors: Amarbir Singh, Palwinder Singh
[IJCT-V3I2P32] Authors: Amarbir Singh, Palwinder Singh[IJCT-V3I2P32] Authors: Amarbir Singh, Palwinder Singh
[IJCT-V3I2P32] Authors: Amarbir Singh, Palwinder Singh
 
[IJET-V2I2P14] Authors:Akshay A. More, Shubham S. Jagtap,Abhijit S. Dhumal,At...
[IJET-V2I2P14] Authors:Akshay A. More, Shubham S. Jagtap,Abhijit S. Dhumal,At...[IJET-V2I2P14] Authors:Akshay A. More, Shubham S. Jagtap,Abhijit S. Dhumal,At...
[IJET-V2I2P14] Authors:Akshay A. More, Shubham S. Jagtap,Abhijit S. Dhumal,At...
 
[IJET-V1I3P13] Authors :Aishwarya Manjunath, Shreenath K N, Dr. Srinivasa K G.
[IJET-V1I3P13] Authors :Aishwarya Manjunath, Shreenath K N, Dr. Srinivasa K G.[IJET-V1I3P13] Authors :Aishwarya Manjunath, Shreenath K N, Dr. Srinivasa K G.
[IJET-V1I3P13] Authors :Aishwarya Manjunath, Shreenath K N, Dr. Srinivasa K G.
 
[IJET-V1I6P8] Authors: Priyanka Bonde ,R.R.Borase,J.G.Patil
[IJET-V1I6P8] Authors: Priyanka Bonde ,R.R.Borase,J.G.Patil[IJET-V1I6P8] Authors: Priyanka Bonde ,R.R.Borase,J.G.Patil
[IJET-V1I6P8] Authors: Priyanka Bonde ,R.R.Borase,J.G.Patil
 
[IJET-V2I2P15] Authors:Mr.Yogesh P. Vanjari Prof. Nilesh S. Navagale Prof. Ra...
[IJET-V2I2P15] Authors:Mr.Yogesh P. Vanjari Prof. Nilesh S. Navagale Prof. Ra...[IJET-V2I2P15] Authors:Mr.Yogesh P. Vanjari Prof. Nilesh S. Navagale Prof. Ra...
[IJET-V2I2P15] Authors:Mr.Yogesh P. Vanjari Prof. Nilesh S. Navagale Prof. Ra...
 
[IJET-V2I1P5] Authors:Bharat Bhagwat Waghode, Dr.Bimlesh Kumar
[IJET-V2I1P5] Authors:Bharat Bhagwat Waghode, Dr.Bimlesh Kumar[IJET-V2I1P5] Authors:Bharat Bhagwat Waghode, Dr.Bimlesh Kumar
[IJET-V2I1P5] Authors:Bharat Bhagwat Waghode, Dr.Bimlesh Kumar
 
[IJET-V1I6P21] Authors : Easwari.N , Ponmuthuramalingam.P
[IJET-V1I6P21] Authors : Easwari.N , Ponmuthuramalingam.P[IJET-V1I6P21] Authors : Easwari.N , Ponmuthuramalingam.P
[IJET-V1I6P21] Authors : Easwari.N , Ponmuthuramalingam.P
 

Similaire à [IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar

Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
A high speed dynamic ripple carry adder
A high speed dynamic ripple carry adderA high speed dynamic ripple carry adder
A high speed dynamic ripple carry addereSAT Journals
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESIRJET Journal
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKSantanu Chatterjee
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter designIJECEIAES
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
 
Allen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCAllen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCpaperpublications3
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
 
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS cscpconf
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
 

Similaire à [IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar (20)

Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
A high speed dynamic ripple carry adder
A high speed dynamic ripple carry adderA high speed dynamic ripple carry adder
A high speed dynamic ripple carry adder
 
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESPERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUES
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
I044024549
I044024549I044024549
I044024549
 
final report
final reportfinal report
final report
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
 
Allen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLCAllen Bradley PLC V/S Siemens PLC
Allen Bradley PLC V/S Siemens PLC
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
H010613642
H010613642H010613642
H010613642
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS
GLITCH ANALYSIS AND REDUCTION IN COMBINATIONAL CIRCUITS
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 

Plus de IJET - International Journal of Engineering and Techniques

Plus de IJET - International Journal of Engineering and Techniques (20)

healthcare supervising system to monitor heart rate to diagonize and alert he...
healthcare supervising system to monitor heart rate to diagonize and alert he...healthcare supervising system to monitor heart rate to diagonize and alert he...
healthcare supervising system to monitor heart rate to diagonize and alert he...
 
verifiable and multi-keyword searchable attribute-based encryption scheme for...
verifiable and multi-keyword searchable attribute-based encryption scheme for...verifiable and multi-keyword searchable attribute-based encryption scheme for...
verifiable and multi-keyword searchable attribute-based encryption scheme for...
 
Ijet v5 i6p18
Ijet v5 i6p18Ijet v5 i6p18
Ijet v5 i6p18
 
Ijet v5 i6p17
Ijet v5 i6p17Ijet v5 i6p17
Ijet v5 i6p17
 
Ijet v5 i6p16
Ijet v5 i6p16Ijet v5 i6p16
Ijet v5 i6p16
 
Ijet v5 i6p15
Ijet v5 i6p15Ijet v5 i6p15
Ijet v5 i6p15
 
Ijet v5 i6p14
Ijet v5 i6p14Ijet v5 i6p14
Ijet v5 i6p14
 
Ijet v5 i6p13
Ijet v5 i6p13Ijet v5 i6p13
Ijet v5 i6p13
 
Ijet v5 i6p12
Ijet v5 i6p12Ijet v5 i6p12
Ijet v5 i6p12
 
Ijet v5 i6p11
Ijet v5 i6p11Ijet v5 i6p11
Ijet v5 i6p11
 
Ijet v5 i6p10
Ijet v5 i6p10Ijet v5 i6p10
Ijet v5 i6p10
 
Ijet v5 i6p2
Ijet v5 i6p2Ijet v5 i6p2
Ijet v5 i6p2
 
IJET-V3I2P24
IJET-V3I2P24IJET-V3I2P24
IJET-V3I2P24
 
IJET-V3I2P23
IJET-V3I2P23IJET-V3I2P23
IJET-V3I2P23
 
IJET-V3I2P22
IJET-V3I2P22IJET-V3I2P22
IJET-V3I2P22
 
IJET-V3I2P21
IJET-V3I2P21IJET-V3I2P21
IJET-V3I2P21
 
IJET-V3I2P20
IJET-V3I2P20IJET-V3I2P20
IJET-V3I2P20
 
IJET-V3I2P19
IJET-V3I2P19IJET-V3I2P19
IJET-V3I2P19
 
IJET-V3I2P18
IJET-V3I2P18IJET-V3I2P18
IJET-V3I2P18
 
IJET-V3I2P17
IJET-V3I2P17IJET-V3I2P17
IJET-V3I2P17
 

Dernier

IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)wesley chun
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUK Journal
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...Neo4j
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 

Dernier (20)

IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 

[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar

  • 1. International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015 ISSN: 2395-1303 http://www.ijetjournal.org Page 18 Dynamic Power reduction of synchronous digital design by using of efficient clock gating technique Dushyant Kumar Soni1 , Ashish Hiradhar2 1(Department of Electronics & Communication Dr. C. V. Raman University Kargi road Kota Bilaspur (C.G.), India) 2 (Department of Electronics & Communication Dr. C. V. Raman University Kargi road Kota Bilaspur (C.G.), India) I. INTRODUCTION The introduction of integrated circuits (ICs), commonly referred to as microchips or simply chips, was accompanied by the need to test these devices. Small-scale integration (SSI) devices, with tens of transistors in the early 1960s, and medium scale integration (MSI) devices, with hundreds of transistors in the late 1960s, were relatively simple to test. However, in the 1970s, large-scale integration (LSI) devices, with thousands and tens of thousands of transistors, created a number of challenges when testing these devices. In the early 1980s, very-large-scale integration (VLSI) devices with hundreds of thousands of transistors were introduced. Steady advances in VLSI technology have resulted in devices with hundreds of millions of transistors. One of the major dynamic power reduced by clock gating method in computing and consumer electronics products in the overall system’s clock signal then reduce the 30%–70% of the total dynamic power consumption and then reduce the overall circuit power to reduce15- 20% of Grouping Flip Flop data driven clock gating method [1].Clock gating is major method of reducing clock signal. Generally, when a logic unit is clocked, it is based on the sequential elements receiving the clock signal, sequentially they will toggle in the next cycle whether it is required or not. The data driven clock gating circuit using clock enabling signals are manually added for every FF as a part of a design methodology [1]. With clock gating, the clock signals are ANDed with explicitly predefined enabling signals. Clock gating is employed at all levels of system architecture, block design, logic design, and gates. Several methods to take advantage of this technique are described, with all of them depending on various heuristics in an attempt to increase clock gating opportunities. With the rapid increase in design complexity, computer aided design tools supporting system-level hardware description have become commonly used. Although substantially increasing design productivity, such tools require the employment of RESEARCH ARTICLE OPEN ACCESS Abstract: Clock gating is an effective method of reducing the dynamic power consumption in synchronous circuits. One of the ways to achieve this is by masking the clock that goes to the idle portion of the circuit. In This paper we will present a comparative analysis of existing clock gating techniques on some synchronous digital design like ALU (Arithmetic logical unit) etc. Also a new clock gating technique that provides more immunity to the existing problem in available technique. In new proposed clock gating the Gated Clock Generation Circuit is using tri state buffer and Gated logic is used which is created by the combination of double gated (AND, OR, AND logic gate) with bubbled input respectively. This circuit saves power even when Target device's clock is ON. All experiments are done on Xilinx14.1 EDA tool. Mentor Graphics Model SIM. For power calculation we are using XPOWER. Sparten-3 (90nm) FPGA platform is used for result and analysis. The proposed design will reduce the hardware complexity with approximately 10-20%. Similar clock power complexity will reduce with 5-10%. Keywords — Tri state buffer; VLSI; Xilinx; glitches; hazards;Sparten.
  • 2. International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015 ISSN: 2395-1303 http://www.ijetjournal.org Page 19 a long chain of automatic synthesis algorithms, from register transfer level (RTL) down to gate level and net list . Unfortunately, such automation leads to a large number of unnecessary clock toggling, thus increasing the number of wasted clock pulses at flip-flops (FFs) as shown in this paper through several industrial examples. A. Dynamic power The power dissipated when circuit is switching from one state to another or ON state. The switching power of a single gate can be expressed as P Dynamic = C L V DD 2 f Where f is the clock frequency, C L is the switching capacitance, V DD is the supply voltage. Reducing the dynamic power dissipation is obtained by the methods of reducing any of the variables in this equation. [5] B. Dynamic power reduction technique Though the leakage power increases significantly in every generation with technology scaling, the dynamic power still continues to dominate the total power dissipation of the general purpose microprocessors. Effective circuit techniques to reduce the dynamic power consumption include transistor size and interconnect optimization, gated clock, multiple supply voltages and dynamic control of supply voltage. Incorporating the above approaches in the design of nano-scale circuits, the dynamic power dissipation can be reduced significantly.[4] C. Clock gating Clock gating is an effective way of reducing the dynamic power dissipation in digital circuits. In a typical synchronous circuit such as the general purpose microprocessor, only a portion of the circuit is active at any given time. Hence, by shutting down the idle portion of the circuit, the unnecessary power consumption can be prevented. One of the ways to achieve this is by masking the clock that goes to the idle portion of the circuit. This prevents unnecessary switching of the inputs to the idle circuit block, reducing the dynamic power.[19] II. PROBLEMS IN PREVIOUS TECHNIQUES • In AND gate clock gating we have output correctness problem due to glitches and hazards. • In NOR gate clock gating we have output correctness problem due to glitches and hazards. • In Latch based AND gate clock gating hazards problem is removed but glitches problem still exists. • In Latch based NOR gate clock gating hazards problem is removed but glitches problem still exists. • In MUX based clock gating requires an expensive MUX per bit and consumes more power and hardware. • In FF based clock gating the sleep period is longer so there is a greater chance to change that happens on Enable signal. • In Positive level sensitive Latch based clock gating more hardware is required. • In T flip flop based clock gating the gate count is maximum compare to other. • In Double gated flip flop based clock gating the gate count is more and also more power consumption. • In Gated clock using negative latch based clock gating we have large hardware problem. In previous all clock gating approaches are facing with the problem of size means those approach which are require less size there is some other issue of glitches and those approaches which having large size so there is no any glitches problem but those structure are increase static problem.[19] In all previous approach only few approaches will reduce the clock power but still some are facing the problem of clock power.[19] In some previous architecture there is need of extra input and output pins as we know for VLSI
  • 3. International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015 ISSN: 2395-1303 http://www.ijetjournal.org Page 20 chip increase in input and output pins will increase the cost of the whole process. III. PROPOSED APPROACH Here we will discuss a new design that will save more power and area. The new Gated Clock Generation Circuit is shown in below figure using tri state buffer and Gated logic is used which is created by the combination of double gated (AND, OR, AND logic gate) with bubbled input respectively. This circuit saves power in such a way that even when Target device's clock is ON, the controlling device's clock is OFF and also when the target device's clock is OFF then also Controlling device's clock is OFF. This way we can save more power by avoiding unnecessary switching at clock net . This clock gating design is on at posedge and off at negedge means clock power and dynamic power is save at the time of negedge clock. To understand the working of circuit , an input signal named Clk is provided to the And , And, OR and tri-state buffer. Figure 1. Proposed Clock gating Logic IV. IMPLEMENTATION DETAIL We have implemented different type of clock gating techniques and proposed technique to reduce power. All the techniques are performed at different technology with temperature, voltage and frequency variation and their Dynamic, static and total power has been computed, In this we are applying clock gating techniques on a 8bit Arithmetic logical unit (ALU). The results are in tables shown below. All experiments are done on Xilinx14.1 EDA tool. Mentor Graphics Model SIM. For power calculation we are using XPOWER. Sparten-3 (90nm) FPGA platform is used for result and analysis. Figure 2. Schematic of Proposed Clock Gating When clk turns to '1' at that time En output is '0' , and double gated (AND, OR and AND gate) with posedge clock will produce output ='1' which goes to the first clock generation logic that generates clock for controlling device. In first logic we have an TRI gate which have Global Clock as an input at the other input of ground. This logic will generate a clock pulse that will drive the controlling latch when 'x' turns to '1'.In the next clock pulse, when CLK turns to '0' clk at its input and when Gen goes '1' it generates clock pulse that goes to the target device. This design only requires 4 logic gates it will save dynamic and clock power. This design will make a justice with spa metrics and also there is no any glitches problem in our design. TABLE I. COMPARISON OF POWER FOR PROPOSED TRISTATE BUFFER CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION Voltage 90nm Technology Dynamic Static Total 1.14 0.083 0.027 0.11 1.2 0.087 0.028 0.114 1.26 0.119 0.029 0.119
  • 4. International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015 ISSN: 2395-1303 http://www.ijetjournal.org Page 21 TABLE II. COMPARISON OF FREQUENCY FOR PROPOSED TRISTATE BUFFER CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION Frequency 90nm Technology Dynamic Static Total 10G Hz 1.272 0.034 1.306 7G Hz 0.901 0.031 0.932 5G Hz 0.642 0.030 0.673 TABLE III. COMPARISON OF TEMPERATURE FOR PROPOSED TRISTATE BUFFER CLOCK GATING TECHNIQUE WITH VOLTAGE VARIATION Temperature 90nm Technology Dynamic Static Total 25 0.201 0.276 0.477 50 0.201 0.369 0.570 75 0.201 0.508 0.709 Table I, II and III represents the comparison of dynamic, static and total power with various voltages (1.14,1.2and1.26), various frequencies (10, 7 and 5Hz) and various temperatures (25,50and 75degree) TABLE IV. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK GATING TECHNIQUES AT 90NM TECHNOLOGIES OPERATING AT 1.14 VOLTAGE Clock Gating Technique 90 nm Technology Without clock gating 0.220 AND gate based 0.137 Latch based 0.115 MUX based 0.146 Flip flop based 0.137 Positive level sensitive latch based 0.136 T-FF based 0.149 Double gated based 0.203 Negative latch based 0.125 Proposed 0.083 In table IV the comparison of Dynamic power at 1.14 voltage for various clock gating is shown at 90 nm technology which shows that the proposed clock gating consumes less Dynamic power as compare to other different types of clock gating that means the proposed clock gating is better as compared to other previous techniques. TABLE V. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK GATING TECHNIQUES AT DIFFERENT TECHNOLOGIES OPERATING AT 10GHZ FREQUENCY Clock Gating Technique 90 nm Without clock gating 2.215 AND gate based 1.378 Latch based 1.375 MUX based 1.365 Flip flop based 1.375 P Positive level sensitive latch based 1.392 T-FF based 1.512 Double gated based 1.387 Negative latch based 1.25 Proposed 1.272 In table V the comparison of Dynamic power at 10 GHz frequency for various clock gating techniques is shown at 90 nm technology which shows that the proposed clock gating consumes less Dynamic power at that particular frequency as compare to other different types of clock gating techniques that means the proposed clock gating is better as compared to other previous techniques. TABLE VI. COMPARISON OF DYNAMIC POWER FOR VARIOUS CLOCK GATING TECHNIQUES AT DIFFERENT TECHNOLOGIES OPERATING AT 25 DEGREE TEMPERATURE Clock Gating Technique 90 nm technology
  • 5. International Journal of Engineering and Techniques Without clock gating 0.223 AND gate based 0.14 Latch based 0.158 MUX based 0.149 Flip flop based 0.204 Positive level sensitive latch based 0.141 T-FF based 0.153 Double gated based 0.141 Negative latch based 0.141 Proposed 0.201 In table VI the comparison of Dynamic power at 25 degree temperature for various clock gating is shown at 90 nm technology which shows that the proposed clock gating consumes less Dynamic power at that particular temperature as compare to other different types of clock gating techniques that means the proposed clock gating is better as compared to other previous techniques. Figure 3 Comparison of clock power for various clock gating We know that the previous clock gating techniques the techniques which reduces the more dynamic power consumption will have large hardware requirement and techniques which requires small hardware will consumes more dynamic power. From figure 8 it is clear that the proposed clock International Journal of Engineering and Techniques - Volume 1 Issue 3, May - 0.223 0.14 0.158 0.149 0.204 0.141 0.153 0.141 0.141 0.201 In table VI the comparison of Dynamic power at 25 degree temperature for various clock gating is shown at 90 nm technology which shows that the proposed clock gating consumes less Dynamic power at that particular temperature as types of clock gating techniques that means the proposed clock gating is better as compared to other previous techniques. Comparison of clock power for various clock gating We know that the previous clock gating techniques ch reduces the more dynamic power consumption will have large hardware requirement and techniques which requires small hardware will consumes more dynamic power. From figure 8 it is clear that the proposed clock gating consumes less dynamic power (only 82m as compare to other techniques. Figure 4 Gate count comparison of Proposed and existing approaches. as well as it requires very less hardware (only 4 logic gates) that means the proposed clock gating approach is very good technique because it consumes less dynamic power also requires less hardware. V. CONCLUSIONS We conclude the dissertation with a brief s of contributions. Contributions: The key contribution of this paper is to develop a new clock gating technique with low area overhead and improved performance of the digital circuit. The increase in dynamic power consumption makes the system unreliable, so to control the dynamic switching power various techniques are studied and analyzed to reduce it. A New double gated tri state based clock gating technique is proposed with low area overhead. Comparative analysis shows that the proposed technique impacts on the dynamic power reducing up to 2-3 folds in compare to conventional one. The proposed design will reduce the hardware complexity with approximately 10 clock power complexity will reduce with 5 All the analysis is done on a 8 bit ALU with process variation parameters. -June 2015 gating consumes less dynamic power (only 82mW) as compare to other techniques. Gate count comparison of Proposed and existing as well as it requires very less hardware (only 4 logic gates) that means the proposed clock gating approach is very good technique because it consumes less dynamic power also requires less the dissertation with a brief summary The key contribution of this paper is to develop a new clock gating technique with low area overhead and improved performance of the digital circuit. The increase in dynamic power consumption makes the system unreliable, so to control the dynamic power various techniques are studied and analyzed to reduce it. A New double gated tri state based clock gating technique is proposed with low area overhead. Comparative analysis shows that the proposed technique impacts on the dynamic power 3 folds in compare to conventional The proposed design will reduce the hardware complexity with approximately 10-20%. Similar clock power complexity will reduce with 5-10%. All the analysis is done on a 8 bit ALU with process
  • 6. International Journal of Engineering and Techniques - Volume 1 Issue 3, May -June 2015 ISSN: 2395-1303 http://www.ijetjournal.org Page 23 REFERENCES 1. Bipul C. Paul, Amit Agarwal, Kaushik Roy, “Low- power design techniques for scaled technologies”, INTEGRATION, the VLSI journal, science direct 39(2006). 2. L. Hai, S. Bhunia, Y. Chen, K. Roy, T.N. Vijay Kumar, “ DCG : deterministic clock gating for low- power microprocessor design ”, IEEE Trans. VLSI Syst. 12 (2004), pp.245-254. 3. Hai Li, Swarup Bhunia, Yiran Chen, T. N. Vijaykumar, and Kaushik Roy, “Deterministic Clock Gating for Microprocessor Power Reduction”,1285 EE Building, ECE Department, Purdue University 4. N. Raghavan, V. Akella, S. Bakshi, “Automatic insertion of gated clocks at register transfer level”, in: International Conference on VLSI Design, 1999, pp. 48 – 54. 5. Kawa, J., "Low Power and Power Management for CMOS—An EDA Perspective," Electron Devices, IEEE Transactions on , vol.55, no.1, pp.186,196, Jan. 2008 6. Borkar, S., "Design challenges of technology scaling," Micro, IEEE, vol.19, no.4, pp.23, 29, Jul- Aug 1999. 7. Sulaiman, D.R., "Using clock gating technique for energy reduction in portable computers," Computer and Communication Engineering, 2008. ICCCE 2008. International Conference on, vol., no., pp.839, 842, 13-15 May 2008. 8. Teng Siong Kiong; Soin, N., "Low power clock gates optimization for clock tree distribution," Quality Electronic Design (ISQED), 2010 11th International Symposium on, vol., no., pp.488, 492, 22-24 March 2010. 9. Kathuria, J. ; Ayoubkhan, M. ; Noor, A. ; “A Review of Clock Gating Techniques”, MIT International Journal of Electronics and Communication Engineering, August 2011, vol.1 no.2 , pp 106-114. 10. Shinde, J.; Salankar, S.S., "Clock gating — A power optimizing technique for VLSI circuits," India Conference (INDICON), 2011 Annual IEEE , vol., no., pp.1,4, 16-18 Dec. 2011. 11. Tomar, B.P.S.; Chaurasia, V.; Yadav, J.; Pandey, B., "Power Reduction of ITC'99-b01 Benchmark Circuit Using Clock Gating Technique," Computational Intelligence and Communication Networks (CICN), 2013 5th International Conference on , vol., no., pp.423,427, 27-29 Sept. 2013 12. Sterpone, L.; Carro, L.; Matos, D.; Wong, S.; Fakhar, F., "A new reconfigurable clock-gating technique for low power SRAM-based FPGAs," Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011 , vol., no., pp.1,6, 14-18 March 2011 13. Heřmánek, A.; Kuneš, M.; Tichý, M., "Reducing Power Consumption of an Embedded DSP Platform through the Clock-Gating Technique," Field Programmable Logic and Applications (FPL), 2010 International Conference on , vol., no., pp.336,339, Aug. 31 2010-Sept. 2 2010 14. Wimer, S.; Koren, I., "The Optimal Fan-Out of Clock Network for Power Minimization by Adaptive Gating," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.20, no.10, pp.1772,1780, Oct. 2012 15. Yunlong Zhang; Qiang Tong; Li Li; Wei Wang; Ken Choi; JongEun Jang; Hyobin Jung; Si-Young Ahn, "Automatic Register Transfer level CAD tool design for advanced clock gating and low power schemes," SoC Design Conference (ISOCC), 2012 International , vol., no., pp.21,24, 4-7 Nov. 2012 16. Wimer, S.; Koren, I., "Design Flow for Flip-Flop Grouping in Data-Driven Clock Gating," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.PP, no.99, pp.1,1, 0 17. Pandey, B.; Yadav, J.; Pattanaik, M.; Rajoria, N., "Clock gating based energy efficient ALU design and implementation on FPGA," Energy Efficient Technologies for Sustainability (ICEETS), 2013 International Conference on , vol., no., pp.93,97, 10- 12 April 2013 18. Oliver, J.P.; Curto, J.; Bouvier, D.; Ramos, M.; Boemo, E., "Clock gating and clock enable for FPGA power reduction," Programmable Logic (SPL), 2012 VIII Southern Conference on , vol., no., pp.1,5, 20-23 March 2012 19. Dushyant kumar soni.; Ashish Hiradhar "A review on existing Clock Gating." International journal of Computer Science and Mobile Computing. Vol.4 Issue 3, March-2015,pg. 371-382.