SlideShare une entreprise Scribd logo
1  sur  7
Télécharger pour lire hors ligne
IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE)
e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 11, Issue 3 Ver. II (May. – Jun. 2016), PP 62-68
www.iosrjournals.org
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 62 | Page
Power and Speed Analysis of CMOS-based Multipliers using
VEDIC techniques
Adnan Ghaderi, Javad Frounchi
Electrical and Computer Engineering Department University of Tabriz Tabriz, Iran
Electrical and Computer Engineering Department University of Tabriz Tabriz, Iran
Abstract: Multipliers are one of the most important components in design of both digital and analog circuits.
The design and structure of multipliers play a significant role to determine speed and power consumption of
processing units as the core component of electronic devices. In this paper, we design 4×4 and 8×8 multipliers
in layout level to extract important design parameters. VEDIC mathematic techniques and CMOS logic are used
to obtain a tradeoff between speed and power efficiency. Our results show that voltage range between 1.5v and
2.5v is the efficient range to perform high speed and low power multiplication.
Keywords: Multiplier; VEDIC; L-edit; CMOS; Low power
I. Introduction
Multipliers, play vital role to determine speed and power consumption of most arithmetic processes.
Due to abundant utilization of multipliers in Digital Signal Processors they have been considered as one of the
most significant elements in designing these types of processor. This significance has led several researches to
optimize multiplier’s architectures. Existing researches have shown that the main issues which should be
considered in designing a multiplier are increasing multiplier speed and decreasing power consumption.In the
recent years, a lot of work has been done to design efficient multiplier architecture based on high speed and low
power techniques.
In order to design high speed and low power multiplier, various techniques have been applied into
different architectures. In (Zhijun and Ercegovac 2005) a high performance and low power linear array
multipliers has been designed by using signal flow optimization and splitting of the reduction array into
upper/lower parts techniques. In (Mahant-Shetti, Balsara et al. 1999) a low power multiplier has been designed
by utilizing temporal tiling with array multiplier technique. In (Nagamatsu, Tanaka et al. 1990), a CMOS
multiplier with high speed performance has been presented based on improved parallel structure. In (Fadavi-
Ardekani 1993), a M×N Booth encoded parallel multiplier generator has been designed by taking advantage of
optimized Wallace trees to decrease the delay. (Kuang and Wang 2010) has used Booth technique to make
power-efficient multiplier. (Hemavathi and Rao 2015) has utilized a modified Booth recording with zero
bypassing technique to reduce power consumption. (Abu-Khater, Bellaouar et al. 1996) has designed a low
power multiplier using circuit techniques and CMOS style. In (Tiwari, Gankhuyag et al. 2008) Vedic
mathematics technique has been used to improve speed and power of multiplier. In (Thapliyal and Srinivas
2004), a high speed N×N bits parallel multiplier has been designed based on Vedic mathematics.
In order to design high speed and low power multiplier, various techniques have been applied into
different architectures. In this paper 4×4 and 8×8 CMOS multiplier are designed by making use ancient Indian
Vedic mathematics technique (Nicholas, Williams et al. 1982; Nicholas, Williams et al. 1984; Bharath and
Tirathji 1986) with respect to reducing delay and using low power architecture. The validity of the ‎proposed
circuit is verified using Hspice in 800nm standard technology and L-Edit. The paper organized ‎as follows: In
section II multiplier architecture is explained. In section III, the CMOS multiplier is designed. Simulation results
are presented in section IV and ‎some conclusions are provided in section V.‎
II. Multiplier Architecture
A. Vedic Multiplication Algorithm
Vedic mathematics is an ancient Indian system which includes sixteen algorithms (Tiwari, Gankhuyag
et al. 2008). All algorithms have their own importance and they have been used for the multiplication of two
numbers.
Urdhva Tiryakbhyam algorithm is the most flexible method that can be used for binary multiplication.
Urdhva Tiryakbhyam means vertically and crosswise. In order to become familiar with how the algorithm
works, consider the multiplication of two binary numbers in fig. 1, which A= 11 and B= 10:
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 63 | Page
Fig. 1. Multiplication of two binary numbers with Urdhva algorithm.
This algorithm is based on creative concept which makes possible the computation of partial product
and their sums in the same step. As shown in fig. 1, at first, we multiply the first digit of two numbers vertically,
then in step 2 we do crosswise multiplication of digits and sum of both partial products, finally in step 3, we
have to multiply second digits of two numbers vertically and add it to carry of previous section.
B. VLSI architecture by using CMOS logic Style
Utilizing low power consumption architecture in integrated circuits with large structure and area is
inevitable. The increasing demand for low power architectures, encourage researchers to test various techniques.
Power consumption reduction could be achieved in circuit level, by choosing proper logic style. CMOS is one of
the appropriate logic styles that because of its structure have been used for low power approaches. In this paper
we used Inverter, OR and AND gates based on CMOS styles. In fig. 2 and fig. 3, show these gates with true
table and layout of structures.
Fig. 2. Basic CMOS gates with true table.
Fig. 3. Layout of CMOS gates
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 64 | Page
III. Multiplier Architecture Design
Proposed 8×8 multiplier architecture is based on product of two 8 bit numbers. Structure of 8×8
multiplier include four block of 4×4 multipliers and structure of 4x4 multiplier consist of four 2×2 block
multipliers. Architecture of 2×2, 4x4 and 8×8 multipliers are explained in following sections.
A. Architecture and Layout of 2×2 Multiplier
In implementation of proposed multiplier, a 2×2 block multiplier is the basic block. As we know in
binary multiplication, for multiply two bit, we use AND gate to multiply both bit, also use Half Adder (HA), to
add outputs of AND gates. Structure and layout of 2×2 multiplier shown in fig.4 and fig.5.
Consider two number with two bits length, which means A(A1A0) and B(B1B0). The output will be four
bits length. As shown in fig.4 and fig.5: the upper half adder, add outputs of second AND gate (A0B1) and third
AND gate (A1B0), also the lower half adder is sum between output of last AND gate (A1B1) with carry generated
in upper half adder. Finally a number with four bits length will be generated (Q3Q2Q1Q0).
Fig. 4. Structure and Layout of HalfAdder (HA).
Fig. 5. Structure and Layout of 2×2 multiplier.
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 65 | Page
B. Architecture and Layout of 4×4 Multiplier
When input bit length increase, structure of multiplier will change. For multiplication of two numbers,
separate each input number into two equal parts. For implementation of 4×4 multiplier consider two inputs such
A(A3A2A1A0) and B(B3B2B1B0), the result of multiplication is eight bits as Q(Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0).
Firstly, A and B should be divided into two equal parts, which A1A0 and A3A2 as A, also B1B0 and B3B2 as
B. After dividing two bits multiplication should be done simultaneously and by four blocks of 2×2 multiplier.
The first 2×2 multiplier block uses A1A0 and B1B0 as input, the second one feed with A1A0 and B3B2 the
third one takes A3A2 and B1B0 and last one works with A3A2 and B3B2.
Secondly, all of the 2×2 multipliers block outputs in a specific structure should be linked to two four
bits ripple carry adders and two half adder and one OR gate, just like fig.7. Layout of 4×4 multiplier is
represented in fig.8.
Fig. 6. Structure of Full Adder and 4-bits Ripple carry adder.
Fig. 7. Structure of 4×4 multiplier.
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 66 | Page
Fig. 8. Layout of 4×4 multiplier
C. Architecture and Layout of 8×8 Multiplier
The structure of 8×8 multiplier is somehow the same as the structure of 4×4 multiplier with a little
difference and extension. The proposed 8×8 multiplier uses four 4x4 block multiplier. We implement 8×8
multiplier with two eight bits numbers, A(A7-A0) and B(B7-B0), and its sixteen bits output Q(Q15-Q0). The
structure of this multiplier is shown in fig.9. Its layout is also shown in fig.10.
Fig. 9. Structure of 8×8 multiplier.
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 67 | Page
Fig. 10. Layout of 8×8 multiplier
IV. Result
In this work, layout of multiplier design with L-edit v8.30 and simulate with Hspice. In this paper, in
order to calculate the power, we use the source average current times the voltage in one period. Additionally,
considering the architecture of the circuit as shown in fig.9, for calculating the delay we tried to choose the
longest path with biggest number of blocks. In order to stimulate the circuit in a way that output is also changed,
and as a result calculation of delay and power is made easier for us, for both states of the multiplier we used a
variable pulse source. We have considered the worst case scenario in picking the numbers to be multiplied,
resulting in two numbers with all the bits of 1. Bearing in mind that all the bits in 4×4 and 8×8 are 1 and due the
architecture of the circuit, q3 and q7 have been respective chosen for the delay of 4×4 and 8×8. Table I and table
II show the results of simulation for 4×4 and 8×8 multipliers. Power consumption and delay for both multipliers
at 50MHz and 100MHz are shown in table I and table II with voltage supply of 1v, 1.5v, 2v and 3v. This
multiplier doesn’t work correctly for 1v supply, also power consumption will increase for high voltages but
delay will decrease. So based on our requirements, we can chose proper supply.
Table 1. Power and delay in 50 MHZ
Propose paper
Freq. = 50
MHz
Supply
1v 1.5 2 v 2.5v 3 v
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
4×4 Multiplier 0.17 --- 2 21 5.14 13 9.5 11 14.8 9
8×8 Multiplier 0.25 --- 4.59 32 13.6 20 26.7 15 43.8 12
Table 2. Power and delay in 100 MHZ
Propose
paper
Freq. =
100
MHz
Supply
1v 1.5 2 v 2.5v 3 v
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
Power
(mW)
Delay
(ns)
4×4
Multiplier
0.1 --- 1.93 19.5 6.18 12.5 12.3 10.5
21.5 9
8×8
Multiplier
0.12 --- 3.73 23 13.7 18.5 29.5 16.5
53.3 12
V. Conclusion
This paper presents 4×4 and 8×8 multiplier by taking advantage of ancient Indian Vedic mathematics
technique with respect to reducing delay and also used CMOS logic based to reduce power consumption. After
designing layout and simulating it, we understand proper voltage range is between 1.5v to 2.5v. Because of
lower range, multiplier doesn’t work correctly and also for higher range, power consumption will be increased.
For future works, we can select different styles in circuit level to decrease area.
Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques
DOI: 10.9790/1676-1103026268 www.iosrjournals.org 68 | Page
References
[1]. Abu-Khater, I. S., A. Bellaouar, et al. (1996). "Circuit techniques for CMOS low-power high-performance multipliers." IEEE
Journal of Solid-State Circuits 31(10): 1535-1546.
[2]. Bharath, J. S. S. and K. Tirathji (1986). "Vedic Mathematics or Sixteen Simple Sutras From The Vedas." Motilal Banarsidas,
Varanasi (India).
[3]. Fadavi-Ardekani, J. (1993). "M* N Booth encoded multiplier generator using optimized Wallace trees." Very Large Scale
Integration (VLSI) Systems, IEEE Transactions on 1(2): 120-125.
[4]. Hemavathi, K. and G. M. Rao (2015). Empirical Analysis of Low Power and High Performance Multiplier. Computational
Intelligence in Data Mining-Volume 2, Springer: 585-594.
[5]. Kuang, S. R. and J. P. Wang (2010). "Design of Power-Efficient Configurable Booth Multiplier." IEEE Transactions on Circuits
and Systems I: Regular Papers 57(3): 568-580.
[6]. Mahant-Shetti, S. S., P. T. Balsara, et al. (1999). "High performance low power array multiplier using temporal tiling." IEEE
Transactions on Very Large Scale Integration (VLSI) Systems 7(1): 121-124.
[7]. Nagamatsu, M., S. Tanaka, et al. (1990). "A 15-ns 32×32-b CMOS multiplier with an improved parallel structure." IEEE
Journal of Solid-State Circuits 25(2): 494-497.
[8]. Nicholas, A., K. Williams, et al. (1982). "Lectures on Vedic Mathematics." Spiritual Study Group, Roorkee (India).
[9]. Nicholas, A., K. Williams, et al. (1984). "Application of Urdhava Sutra." Spiritual Study Group, Roorkee (India).
[10]. Thapliyal, H. and M. Srinivas (2004). "High Speed Efficient N x N Bit Parallel Hierarchical Overlay Multiplier Architecture Based
on Ancient Indian Vedic Mathematics." Enformatika Trans 2: 225-228.
[11]. Tiwari, H. D., G. Gankhuyag, et al. (2008). Multiplier design based on ancient Indian Vedic Mathematics. SoC Design Conference,
2008. ISOCC '08. International.
[12]. Zhijun, H. and M. D. Ercegovac (2005). "High-performance low-power left-to-right array multiplier design." IEEE Transactions on
Computers 54(3): 272-283.

Contenu connexe

Tendances

Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adderIaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd Iaetsd
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520
IJRAT
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
IOSR Journals
 
IE-301_OptionalProject_Group2_Report
IE-301_OptionalProject_Group2_ReportIE-301_OptionalProject_Group2_Report
IE-301_OptionalProject_Group2_Report
Sarp Uzel
 
Comparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation techniqueComparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation technique
IAEME Publication
 

Tendances (20)

Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speed
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
 
Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adderIaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adder
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
 
Digital Image Compression using Hybrid Transform with Kekre Transform and Oth...
Digital Image Compression using Hybrid Transform with Kekre Transform and Oth...Digital Image Compression using Hybrid Transform with Kekre Transform and Oth...
Digital Image Compression using Hybrid Transform with Kekre Transform and Oth...
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520
 
An Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for CryptosystemsAn Area-efficient Montgomery Modular Multiplier for Cryptosystems
An Area-efficient Montgomery Modular Multiplier for Cryptosystems
 
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
 
International journal of applied sciences and innovation vol 2015 - no 1 - ...
International journal of applied sciences and innovation   vol 2015 - no 1 - ...International journal of applied sciences and innovation   vol 2015 - no 1 - ...
International journal of applied sciences and innovation vol 2015 - no 1 - ...
 
Design and Implementation of 16-Bit Magnitude Comparator Using Efficient Low ...
Design and Implementation of 16-Bit Magnitude Comparator Using Efficient Low ...Design and Implementation of 16-Bit Magnitude Comparator Using Efficient Low ...
Design and Implementation of 16-Bit Magnitude Comparator Using Efficient Low ...
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
 
Design of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systemsDesign of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systems
 
Q045079298
Q045079298Q045079298
Q045079298
 
Bu34437441
Bu34437441Bu34437441
Bu34437441
 
Efficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full SubtractorEfficient Layout Design of CMOS Full Subtractor
Efficient Layout Design of CMOS Full Subtractor
 
A High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorA High performance unified BCD adder/Subtractor
A High performance unified BCD adder/Subtractor
 
IE-301_OptionalProject_Group2_Report
IE-301_OptionalProject_Group2_ReportIE-301_OptionalProject_Group2_Report
IE-301_OptionalProject_Group2_Report
 
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
Hardware Implementation of Two’s Compliment Multiplier with Partial Product b...
 
Comparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation techniqueComparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation technique
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation
 

Similaire à G1103026268

Final Project Report
Final Project ReportFinal Project Report
Final Project Report
Riddhi Shah
 

Similaire à G1103026268 (20)

Final Project Report
Final Project ReportFinal Project Report
Final Project Report
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm Technology
 
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTSIRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
 
Parallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationParallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix Multiplication
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
 
Analysis of different multiplication algorithm and FPGA implementation of rec...
Analysis of different multiplication algorithm and FPGA implementation of rec...Analysis of different multiplication algorithm and FPGA implementation of rec...
Analysis of different multiplication algorithm and FPGA implementation of rec...
 
Optimization and implementation of parallel squarer
Optimization and implementation of parallel squarerOptimization and implementation of parallel squarer
Optimization and implementation of parallel squarer
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
VLSI ARCHITECTURE OF AN 8-BIT MULTIPLIER USING VEDIC MATHEMATICS IN 180NM TEC...
VLSI ARCHITECTURE OF AN 8-BIT MULTIPLIER USING VEDIC MATHEMATICS IN 180NM TEC...VLSI ARCHITECTURE OF AN 8-BIT MULTIPLIER USING VEDIC MATHEMATICS IN 180NM TEC...
VLSI ARCHITECTURE OF AN 8-BIT MULTIPLIER USING VEDIC MATHEMATICS IN 180NM TEC...
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
Bl044389393
Bl044389393Bl044389393
Bl044389393
 

Plus de IOSR Journals

Plus de IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Dernier

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
WSO2
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 

Dernier (20)

Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Apidays Singapore 2024 - Modernizing Securities Finance by Madhu Subbu
Apidays Singapore 2024 - Modernizing Securities Finance by Madhu SubbuApidays Singapore 2024 - Modernizing Securities Finance by Madhu Subbu
Apidays Singapore 2024 - Modernizing Securities Finance by Madhu Subbu
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot ModelNavi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 

G1103026268

  • 1. IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 11, Issue 3 Ver. II (May. – Jun. 2016), PP 62-68 www.iosrjournals.org DOI: 10.9790/1676-1103026268 www.iosrjournals.org 62 | Page Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques Adnan Ghaderi, Javad Frounchi Electrical and Computer Engineering Department University of Tabriz Tabriz, Iran Electrical and Computer Engineering Department University of Tabriz Tabriz, Iran Abstract: Multipliers are one of the most important components in design of both digital and analog circuits. The design and structure of multipliers play a significant role to determine speed and power consumption of processing units as the core component of electronic devices. In this paper, we design 4×4 and 8×8 multipliers in layout level to extract important design parameters. VEDIC mathematic techniques and CMOS logic are used to obtain a tradeoff between speed and power efficiency. Our results show that voltage range between 1.5v and 2.5v is the efficient range to perform high speed and low power multiplication. Keywords: Multiplier; VEDIC; L-edit; CMOS; Low power I. Introduction Multipliers, play vital role to determine speed and power consumption of most arithmetic processes. Due to abundant utilization of multipliers in Digital Signal Processors they have been considered as one of the most significant elements in designing these types of processor. This significance has led several researches to optimize multiplier’s architectures. Existing researches have shown that the main issues which should be considered in designing a multiplier are increasing multiplier speed and decreasing power consumption.In the recent years, a lot of work has been done to design efficient multiplier architecture based on high speed and low power techniques. In order to design high speed and low power multiplier, various techniques have been applied into different architectures. In (Zhijun and Ercegovac 2005) a high performance and low power linear array multipliers has been designed by using signal flow optimization and splitting of the reduction array into upper/lower parts techniques. In (Mahant-Shetti, Balsara et al. 1999) a low power multiplier has been designed by utilizing temporal tiling with array multiplier technique. In (Nagamatsu, Tanaka et al. 1990), a CMOS multiplier with high speed performance has been presented based on improved parallel structure. In (Fadavi- Ardekani 1993), a M×N Booth encoded parallel multiplier generator has been designed by taking advantage of optimized Wallace trees to decrease the delay. (Kuang and Wang 2010) has used Booth technique to make power-efficient multiplier. (Hemavathi and Rao 2015) has utilized a modified Booth recording with zero bypassing technique to reduce power consumption. (Abu-Khater, Bellaouar et al. 1996) has designed a low power multiplier using circuit techniques and CMOS style. In (Tiwari, Gankhuyag et al. 2008) Vedic mathematics technique has been used to improve speed and power of multiplier. In (Thapliyal and Srinivas 2004), a high speed N×N bits parallel multiplier has been designed based on Vedic mathematics. In order to design high speed and low power multiplier, various techniques have been applied into different architectures. In this paper 4×4 and 8×8 CMOS multiplier are designed by making use ancient Indian Vedic mathematics technique (Nicholas, Williams et al. 1982; Nicholas, Williams et al. 1984; Bharath and Tirathji 1986) with respect to reducing delay and using low power architecture. The validity of the ‎proposed circuit is verified using Hspice in 800nm standard technology and L-Edit. The paper organized ‎as follows: In section II multiplier architecture is explained. In section III, the CMOS multiplier is designed. Simulation results are presented in section IV and ‎some conclusions are provided in section V.‎ II. Multiplier Architecture A. Vedic Multiplication Algorithm Vedic mathematics is an ancient Indian system which includes sixteen algorithms (Tiwari, Gankhuyag et al. 2008). All algorithms have their own importance and they have been used for the multiplication of two numbers. Urdhva Tiryakbhyam algorithm is the most flexible method that can be used for binary multiplication. Urdhva Tiryakbhyam means vertically and crosswise. In order to become familiar with how the algorithm works, consider the multiplication of two binary numbers in fig. 1, which A= 11 and B= 10:
  • 2. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 63 | Page Fig. 1. Multiplication of two binary numbers with Urdhva algorithm. This algorithm is based on creative concept which makes possible the computation of partial product and their sums in the same step. As shown in fig. 1, at first, we multiply the first digit of two numbers vertically, then in step 2 we do crosswise multiplication of digits and sum of both partial products, finally in step 3, we have to multiply second digits of two numbers vertically and add it to carry of previous section. B. VLSI architecture by using CMOS logic Style Utilizing low power consumption architecture in integrated circuits with large structure and area is inevitable. The increasing demand for low power architectures, encourage researchers to test various techniques. Power consumption reduction could be achieved in circuit level, by choosing proper logic style. CMOS is one of the appropriate logic styles that because of its structure have been used for low power approaches. In this paper we used Inverter, OR and AND gates based on CMOS styles. In fig. 2 and fig. 3, show these gates with true table and layout of structures. Fig. 2. Basic CMOS gates with true table. Fig. 3. Layout of CMOS gates
  • 3. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 64 | Page III. Multiplier Architecture Design Proposed 8×8 multiplier architecture is based on product of two 8 bit numbers. Structure of 8×8 multiplier include four block of 4×4 multipliers and structure of 4x4 multiplier consist of four 2×2 block multipliers. Architecture of 2×2, 4x4 and 8×8 multipliers are explained in following sections. A. Architecture and Layout of 2×2 Multiplier In implementation of proposed multiplier, a 2×2 block multiplier is the basic block. As we know in binary multiplication, for multiply two bit, we use AND gate to multiply both bit, also use Half Adder (HA), to add outputs of AND gates. Structure and layout of 2×2 multiplier shown in fig.4 and fig.5. Consider two number with two bits length, which means A(A1A0) and B(B1B0). The output will be four bits length. As shown in fig.4 and fig.5: the upper half adder, add outputs of second AND gate (A0B1) and third AND gate (A1B0), also the lower half adder is sum between output of last AND gate (A1B1) with carry generated in upper half adder. Finally a number with four bits length will be generated (Q3Q2Q1Q0). Fig. 4. Structure and Layout of HalfAdder (HA). Fig. 5. Structure and Layout of 2×2 multiplier.
  • 4. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 65 | Page B. Architecture and Layout of 4×4 Multiplier When input bit length increase, structure of multiplier will change. For multiplication of two numbers, separate each input number into two equal parts. For implementation of 4×4 multiplier consider two inputs such A(A3A2A1A0) and B(B3B2B1B0), the result of multiplication is eight bits as Q(Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0). Firstly, A and B should be divided into two equal parts, which A1A0 and A3A2 as A, also B1B0 and B3B2 as B. After dividing two bits multiplication should be done simultaneously and by four blocks of 2×2 multiplier. The first 2×2 multiplier block uses A1A0 and B1B0 as input, the second one feed with A1A0 and B3B2 the third one takes A3A2 and B1B0 and last one works with A3A2 and B3B2. Secondly, all of the 2×2 multipliers block outputs in a specific structure should be linked to two four bits ripple carry adders and two half adder and one OR gate, just like fig.7. Layout of 4×4 multiplier is represented in fig.8. Fig. 6. Structure of Full Adder and 4-bits Ripple carry adder. Fig. 7. Structure of 4×4 multiplier.
  • 5. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 66 | Page Fig. 8. Layout of 4×4 multiplier C. Architecture and Layout of 8×8 Multiplier The structure of 8×8 multiplier is somehow the same as the structure of 4×4 multiplier with a little difference and extension. The proposed 8×8 multiplier uses four 4x4 block multiplier. We implement 8×8 multiplier with two eight bits numbers, A(A7-A0) and B(B7-B0), and its sixteen bits output Q(Q15-Q0). The structure of this multiplier is shown in fig.9. Its layout is also shown in fig.10. Fig. 9. Structure of 8×8 multiplier.
  • 6. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 67 | Page Fig. 10. Layout of 8×8 multiplier IV. Result In this work, layout of multiplier design with L-edit v8.30 and simulate with Hspice. In this paper, in order to calculate the power, we use the source average current times the voltage in one period. Additionally, considering the architecture of the circuit as shown in fig.9, for calculating the delay we tried to choose the longest path with biggest number of blocks. In order to stimulate the circuit in a way that output is also changed, and as a result calculation of delay and power is made easier for us, for both states of the multiplier we used a variable pulse source. We have considered the worst case scenario in picking the numbers to be multiplied, resulting in two numbers with all the bits of 1. Bearing in mind that all the bits in 4×4 and 8×8 are 1 and due the architecture of the circuit, q3 and q7 have been respective chosen for the delay of 4×4 and 8×8. Table I and table II show the results of simulation for 4×4 and 8×8 multipliers. Power consumption and delay for both multipliers at 50MHz and 100MHz are shown in table I and table II with voltage supply of 1v, 1.5v, 2v and 3v. This multiplier doesn’t work correctly for 1v supply, also power consumption will increase for high voltages but delay will decrease. So based on our requirements, we can chose proper supply. Table 1. Power and delay in 50 MHZ Propose paper Freq. = 50 MHz Supply 1v 1.5 2 v 2.5v 3 v Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) 4×4 Multiplier 0.17 --- 2 21 5.14 13 9.5 11 14.8 9 8×8 Multiplier 0.25 --- 4.59 32 13.6 20 26.7 15 43.8 12 Table 2. Power and delay in 100 MHZ Propose paper Freq. = 100 MHz Supply 1v 1.5 2 v 2.5v 3 v Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) Power (mW) Delay (ns) 4×4 Multiplier 0.1 --- 1.93 19.5 6.18 12.5 12.3 10.5 21.5 9 8×8 Multiplier 0.12 --- 3.73 23 13.7 18.5 29.5 16.5 53.3 12 V. Conclusion This paper presents 4×4 and 8×8 multiplier by taking advantage of ancient Indian Vedic mathematics technique with respect to reducing delay and also used CMOS logic based to reduce power consumption. After designing layout and simulating it, we understand proper voltage range is between 1.5v to 2.5v. Because of lower range, multiplier doesn’t work correctly and also for higher range, power consumption will be increased. For future works, we can select different styles in circuit level to decrease area.
  • 7. Power and Speed Analysis of CMOS-based Multipliers using VEDIC techniques DOI: 10.9790/1676-1103026268 www.iosrjournals.org 68 | Page References [1]. Abu-Khater, I. S., A. Bellaouar, et al. (1996). "Circuit techniques for CMOS low-power high-performance multipliers." IEEE Journal of Solid-State Circuits 31(10): 1535-1546. [2]. Bharath, J. S. S. and K. Tirathji (1986). "Vedic Mathematics or Sixteen Simple Sutras From The Vedas." Motilal Banarsidas, Varanasi (India). [3]. Fadavi-Ardekani, J. (1993). "M* N Booth encoded multiplier generator using optimized Wallace trees." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 1(2): 120-125. [4]. Hemavathi, K. and G. M. Rao (2015). Empirical Analysis of Low Power and High Performance Multiplier. Computational Intelligence in Data Mining-Volume 2, Springer: 585-594. [5]. Kuang, S. R. and J. P. Wang (2010). "Design of Power-Efficient Configurable Booth Multiplier." IEEE Transactions on Circuits and Systems I: Regular Papers 57(3): 568-580. [6]. Mahant-Shetti, S. S., P. T. Balsara, et al. (1999). "High performance low power array multiplier using temporal tiling." IEEE Transactions on Very Large Scale Integration (VLSI) Systems 7(1): 121-124. [7]. Nagamatsu, M., S. Tanaka, et al. (1990). "A 15-ns 32×32-b CMOS multiplier with an improved parallel structure." IEEE Journal of Solid-State Circuits 25(2): 494-497. [8]. Nicholas, A., K. Williams, et al. (1982). "Lectures on Vedic Mathematics." Spiritual Study Group, Roorkee (India). [9]. Nicholas, A., K. Williams, et al. (1984). "Application of Urdhava Sutra." Spiritual Study Group, Roorkee (India). [10]. Thapliyal, H. and M. Srinivas (2004). "High Speed Efficient N x N Bit Parallel Hierarchical Overlay Multiplier Architecture Based on Ancient Indian Vedic Mathematics." Enformatika Trans 2: 225-228. [11]. Tiwari, H. D., G. Gankhuyag, et al. (2008). Multiplier design based on ancient Indian Vedic Mathematics. SoC Design Conference, 2008. ISOCC '08. International. [12]. Zhijun, H. and M. D. Ercegovac (2005). "High-performance low-power left-to-right array multiplier design." IEEE Transactions on Computers 54(3): 272-283.