SlideShare une entreprise Scribd logo
1  sur  17
Low Power VLSI Design
11/18/2015 1M.TECH_EC_VLSI_DESIGN
Guided by:
Dr. N.M Devashrayee
Prepared by:
Jitender Mor
14MECV06
Essentials for Developing Advance Low Power Design
Advance Low Power Techniques
Industry-Standard Low Power Language
Low Power Special Cells
Power-Conscious Methodologies
Low Power EDA Solution
Sources of Power Dissipation
Dynamic
Power
Switching
Power
Short Circuit
Power
Glitching
Power
Static Power
Diode
Leakage
R.B Leakage
B to B
Tunneling
Subthreshold
Leakage
Gate Leakage
Tunneling
Through Gate
Hot Carrier
Injection
Punch
Through
Pswitching = α CL Vdd
2 f
Psc = Vdd Imean = β/12 (Vdd – 2Vt)3 τ f
Pleakage = Vdd Ileakage
Factors of Power Dissipation
 Supply Voltage
 Physical Capacitance
 Switching Activity
 Threshold Voltage
Low Power Approaches
Supply Voltage
Scaling
Minimizing
Switching
Capacitance
Minimizing
Leakage
Supply Voltage Scaling :
 Static Voltage Scaling
• Device Feature Size Scaling
• Architectural Level Scaling
• Optimum Transistor Sizing
 Multilevel Voltage Scaling
• Voltage Island
 Dynamic Voltage & Frequency Scaling
 Adaptive Voltage Scaling
Minimizing Switching Capacitance :
 H/W S/W Tradeoff
 Bus Encoding
• Gray Coding
• One Hot Coding
 Clock Gating
 Use of Number System
• 2’s compliment VS Sign Magnitude
Minimizing Leakage :
 Variable VT CMOS
 Multiple VT CMOS
 Power Gating
 Dual Subthreshold Supply
Fabrication of Multi Threshold Voltage :
 Multiple Channel Doping
 Multiple Oxide Thickness
 Multiple Channel Length
 Multiple Body Bias
Pdynamic α Vdd
2
Pdynamic decreases as Vdd reduces
 But Delay also increases as Vdd reduces.
 And we don’t want delay so we have to do something to
reduce Delay
To Reduce Delay
Scale Down VT with Vdd
Stand by Leakage will Increase
MTCMOS or VTCMOS to Reduce Leakage
Require Additional Processing Steps
Parallel Architecture
Require Additional
Hardware
Dual Subthreshold Supply
The Non-Critical path is made to operate at the reduced voltage VDDL, while the Critical path is
made to operate at the original voltage VDDH
Advantages :
1) no need for changing the regular fabrication process.
2) no need for creating parallel data paths causing painful area penalty.
The strategy of this approach consists of two steps:
1) finding the logic gates with excessive slack (difference between the required time and the
arrival time of a signal) in logic circuits and then
2) applying VDDL to those gates for slimming up the power
Need of Power Intent Language
 HDL like Verilog, VHDL are functional intent language.
 Don’t have Power Construct for describing things like Power
Shutdown, Isolation, State Retention.
 Hence the need of new set of constructs, a new language
specially created to describe power behavior.
 Techniques whose power behavior can be described using UPF
Low Power EDA Solution Tools
Synopsis Power Compiler
Advanced clock gating and low power
placement for lower dynamic power.
Calypto PowerPro CG
Reduces power by up to 60% with
little or no impact on timing or area.
 Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849,
USA “Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level
Gates” in 12th Int'l Symposium on Quality Electronic Design 2011
 Kimiyoshi Usami, Mutsunori Igarashi, Fumihiro Minami, Takashi Ishikawa,Masahiro Kanazawa,
Makoto Ichida, and Kazutaka Nogami, Member, IEEE “Automated Low-Power Technique Exploiting
Multiple Supply Voltages Applied to a Media Processor” In IEEE JOURNAL OF SOLID-STATE CIRCUITS,
VOL. 33, No. 3, March 1998
 K. Kim and V. D. Agrawal, “True Minimum Energy Design Using Dual Below-Threshold Supply
Voltages,” in Proceedings of 24th International Conference on VLSI Design, Jan. 2011
 Kimiyoshi Usami, Mutsunori Igarashi, Fumihiro Minami, Takashi Ishikawa, Masahiro Kanazawa,
Makoto Ichida, and Kazutaka Nogami, Member, IEEE “Automated Low-Power Technique Exploiting
Multiple Supply Voltages Applied to a Media Processor ” in IEEE JOURNAL OF SOLID-STATE CIRCUITS,
VOL. 33, NO. 3, MARCH 1998
 http://nptel.ac.in/courses/106105034/
References
11/18/2015 M.TECH_EC_VLSI_DESIGN 17

Contenu connexe

Tendances

LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSIDuronto riyad
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication pptManjushree Mashal
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI DesignKalyan Acharjya
 
Probabilistic Power Analysis
Probabilistic Power AnalysisProbabilistic Power Analysis
Probabilistic Power AnalysisGargiKhanna1
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devicesAshu0711
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 

Tendances (20)

Power Gating
Power GatingPower Gating
Power Gating
 
LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSI
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Low power
Low powerLow power
Low power
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Second order effects
Second order effectsSecond order effects
Second order effects
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Probabilistic Power Analysis
Probabilistic Power AnalysisProbabilistic Power Analysis
Probabilistic Power Analysis
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
 

Similaire à Low Power VLSI Design Presentation_final

LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.pptssuser36861c
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.pptECEHoD16
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...Nathan Mathis
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Two-Stage Power Conversion Architecture Suitable for Wide Range Input Voltage
Two-Stage Power Conversion Architecture Suitable for Wide Range Input VoltageTwo-Stage Power Conversion Architecture Suitable for Wide Range Input Voltage
Two-Stage Power Conversion Architecture Suitable for Wide Range Input VoltageProjectsatbangalore
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updatedAlona Gradman
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updatedAlona Gradman
 
Design and Optimization of GDI Based 1-bit comparator using Reverse Logic
Design and Optimization of GDI Based 1-bit comparator using Reverse LogicDesign and Optimization of GDI Based 1-bit comparator using Reverse Logic
Design and Optimization of GDI Based 1-bit comparator using Reverse Logicpaperpublications3
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...ijsrd.com
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter designIJECEIAES
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNVLSICS Design
 
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...IRJET Journal
 

Similaire à Low Power VLSI Design Presentation_final (20)

LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Two-Stage Power Conversion Architecture Suitable for Wide Range Input Voltage
Two-Stage Power Conversion Architecture Suitable for Wide Range Input VoltageTwo-Stage Power Conversion Architecture Suitable for Wide Range Input Voltage
Two-Stage Power Conversion Architecture Suitable for Wide Range Input Voltage
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updated
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
 
Design and Optimization of GDI Based 1-bit comparator using Reverse Logic
Design and Optimization of GDI Based 1-bit comparator using Reverse LogicDesign and Optimization of GDI Based 1-bit comparator using Reverse Logic
Design and Optimization of GDI Based 1-bit comparator using Reverse Logic
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
Simulation of DVR by using Ultra Capacitor to Analysis of THD with and withou...
 

Low Power VLSI Design Presentation_final

  • 1. Low Power VLSI Design 11/18/2015 1M.TECH_EC_VLSI_DESIGN Guided by: Dr. N.M Devashrayee Prepared by: Jitender Mor 14MECV06
  • 2. Essentials for Developing Advance Low Power Design Advance Low Power Techniques Industry-Standard Low Power Language Low Power Special Cells Power-Conscious Methodologies Low Power EDA Solution
  • 3. Sources of Power Dissipation Dynamic Power Switching Power Short Circuit Power Glitching Power Static Power Diode Leakage R.B Leakage B to B Tunneling Subthreshold Leakage Gate Leakage Tunneling Through Gate Hot Carrier Injection Punch Through
  • 4. Pswitching = α CL Vdd 2 f Psc = Vdd Imean = β/12 (Vdd – 2Vt)3 τ f Pleakage = Vdd Ileakage Factors of Power Dissipation  Supply Voltage  Physical Capacitance  Switching Activity  Threshold Voltage
  • 5. Low Power Approaches Supply Voltage Scaling Minimizing Switching Capacitance Minimizing Leakage
  • 6. Supply Voltage Scaling :  Static Voltage Scaling • Device Feature Size Scaling • Architectural Level Scaling • Optimum Transistor Sizing  Multilevel Voltage Scaling • Voltage Island  Dynamic Voltage & Frequency Scaling  Adaptive Voltage Scaling
  • 7. Minimizing Switching Capacitance :  H/W S/W Tradeoff  Bus Encoding • Gray Coding • One Hot Coding  Clock Gating  Use of Number System • 2’s compliment VS Sign Magnitude
  • 8. Minimizing Leakage :  Variable VT CMOS  Multiple VT CMOS  Power Gating  Dual Subthreshold Supply Fabrication of Multi Threshold Voltage :  Multiple Channel Doping  Multiple Oxide Thickness  Multiple Channel Length  Multiple Body Bias
  • 9. Pdynamic α Vdd 2 Pdynamic decreases as Vdd reduces  But Delay also increases as Vdd reduces.  And we don’t want delay so we have to do something to reduce Delay
  • 10. To Reduce Delay Scale Down VT with Vdd Stand by Leakage will Increase MTCMOS or VTCMOS to Reduce Leakage Require Additional Processing Steps Parallel Architecture Require Additional Hardware
  • 11. Dual Subthreshold Supply The Non-Critical path is made to operate at the reduced voltage VDDL, while the Critical path is made to operate at the original voltage VDDH Advantages : 1) no need for changing the regular fabrication process. 2) no need for creating parallel data paths causing painful area penalty. The strategy of this approach consists of two steps: 1) finding the logic gates with excessive slack (difference between the required time and the arrival time of a signal) in logic circuits and then 2) applying VDDL to those gates for slimming up the power
  • 12. Need of Power Intent Language  HDL like Verilog, VHDL are functional intent language.  Don’t have Power Construct for describing things like Power Shutdown, Isolation, State Retention.  Hence the need of new set of constructs, a new language specially created to describe power behavior.
  • 13.
  • 14.  Techniques whose power behavior can be described using UPF
  • 15. Low Power EDA Solution Tools Synopsis Power Compiler Advanced clock gating and low power placement for lower dynamic power. Calypto PowerPro CG Reduces power by up to 60% with little or no impact on timing or area.
  • 16.  Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849, USA “Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates” in 12th Int'l Symposium on Quality Electronic Design 2011  Kimiyoshi Usami, Mutsunori Igarashi, Fumihiro Minami, Takashi Ishikawa,Masahiro Kanazawa, Makoto Ichida, and Kazutaka Nogami, Member, IEEE “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor” In IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, No. 3, March 1998  K. Kim and V. D. Agrawal, “True Minimum Energy Design Using Dual Below-Threshold Supply Voltages,” in Proceedings of 24th International Conference on VLSI Design, Jan. 2011  Kimiyoshi Usami, Mutsunori Igarashi, Fumihiro Minami, Takashi Ishikawa, Masahiro Kanazawa, Makoto Ichida, and Kazutaka Nogami, Member, IEEE “Automated Low-Power Technique Exploiting Multiple Supply Voltages Applied to a Media Processor ” in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 3, MARCH 1998  http://nptel.ac.in/courses/106105034/ References