SlideShare une entreprise Scribd logo
1  sur  2
Michael D. Besket
(805) 208-0510 • Michael.Besket@gmail.com
Linked In profile: www.linkedin.com/pub/michael-besket/22/9ba/356/
SUMMARY OF SKILLS:
I have held many positions in my manufacturing career. I have been a Production Lead, a Supervisor, a Project Team Leader, a
Manufacturing/Process Engineer, and an Equipment Technician.
COMPETENCIES:
High Vacuum: Plasma etching: Software:
Evaporation Isotropic polyimide etching AutoCAD
Sputtering RIE polyimide etching Minitab
Ion sources Plasma cleaning esiCAM
Equipment troubleshoot & repair Equipment troubleshoot & repair Microsoft Office
Equipment maintenance Equipment maintenance Lotus Notes
Laser processing: Other skills:
Microvia drill: thin flex circuits Documentation
Micromachining: thin flex circuits (UV, CO2) Training/Mentor/Supervisor
Program generation Project leadership
Equipment maintenance Capital equipment selection/procurement
Equipment troubleshoot & repair Equipment installation
Conveyorized spray equipment set-up
EXPERIENCE:
Contract Engineer; Life Insurance Sales; Driving Instructor 3/2014-Present
Career Technologies; American Income Life; DriversEd.com
Created process procedures for plasma etching, ESI UV laser drilling/cutting, copper etching, photo-resist stripping, photo-imaging.
Performed additional process development and training for ESI UV laser and Plasma Etch, Inc. plasma etcher. Performed minor plasma
etcher repair.
Obtained Life and Health insurance license and sold life insurance policies briefly.
Obtained driving instructor license and currently training to be a driving instructor.
Manufacturing Engineer, Laser & High Vacuum Processes 1/2006-3/2014
3M Company, Canoga Park, CA
Accomplishments:
Lean Six Sigma Green Belt training
Equipment Specification and Installation:
 Successful installation and adjustments of Chemcut conveyorized spray cleaning system for copper foil cleaning
 Research and specified new UV Laser micro-machining system.
 CO2 laser micro-machining system: developed processes for multiple copper foil types and multiple polyimide thickness
 Oversaw the refurbishment and installation of re-located UV laser system
 RIE style plasma etcher for flexible PCB production
 Developed etching and cleaning processes for new RIE plasma etcher (reduced cycle times)
Implemented process techniques to reduce particulate contamination
Developed training requirements with documentation and qualification tests for laser operators
Created training documentation for copper etch process (using laser training docs as a 'template')
Responsibilities:
Michael D Besket michael.besket@gmail.com
 Define and document production processes  Monitor manufacturing processes daily seeking opportunities for cycle time reduction
and/or quality improvement  Investigate/resolve process issues as they arise  Develop and document equipment maintenance
procedures  Schedule maintenance for least disruptive impact on production  Research, specify, recommend, and acquire budget
approval for new capital equipment purchases and oversee all aspects of installation and validation  Train technicians and supervisors
on new equipment and processes
Process Engineer 11/2000-12/2005
Siemens Ultrasound, Canoga Park, CA
Accomplishments:
Maintained operation of obsolete CO2 laser system to enable production to continue
Researched, specified, acquired budget approval for two UV laser systems and oversaw installation and implementation
Developed process and documentation for UV laser micro-machining (trained operators)
Established procedures and documents for laser programming using AutoCAD and esiCAM
Responsibilities:
Sustaining engineering of sputtering, plasma etching, and laser processes
Equipment troubleshooting and repair of sputtering system, plasma etcher, UV and CO2 lasers
Process Engineer 2/1992-10/2000
Acuson Corporation, Canoga Park, CA
Accomplishments:
Installed CO2 laser system: developed, documented process and procedures (trained operators)
Researched and selected sputtering system vendor, secured capital budget approval, installed equipment, and developed sputtering
processes (interviewed, selected and trained operators)
Used designed experiments to develop low-Flourine plasma etching and cleaning processes
Responsibilities:
Sustaining Engineering: sputtering, plasma etcher, CO2 laser
Equipment troubleshooting and repair of: sputtering system, plasma etcher, CO2 laser system
Expedited prototype flexible printed circuits for medical ultrasound (prototype tech)
Ensured flex circuit prototypes moved smoothly through pilot production phases (finding and correcting issues)(tech)
PREVIOUS EXPERIENCE:
GaAs wafer fabrication: Process equipment repair and maintenance:
Process development and sustaining E-beam evaporation
Metal and “cermet” sputtering Magnetron DC and RF sputtering
High vacuum metal evaporation PECVD system
PECVD deposited SiO2 and Si3N4 thin films
Thin film processing and vacuum PVD system repair and maintenance Rebuilt two high vacuum systems
Production Supervisor
Coordinate activities of process operators Maintain safety standards Bipolar silicon wafer fab experience
EDUCATION & PROFESSIONAL DEVELOPMENT COURSES:
Undergraduate courses in math & science at Moorpark College
American Vacuum Society Short Courses:
Evaporation Emergency response team training (40 hour course)
Sputtering CPR and first aid
Plasma Processes ESI Laser Applications Course
Ion Sources
Reducing Contamination in High Vacuum Systems
Michael D Besket michael.besket@gmail.com

Contenu connexe

En vedette

Etude: Tendances TV internationales - la télévision enrichie
Etude: Tendances TV internationales - la télévision enrichie  Etude: Tendances TV internationales - la télévision enrichie
Etude: Tendances TV internationales - la télévision enrichie Fadhila BRAHIMI
 
Utep guest msk_fall2016
Utep guest msk_fall2016Utep guest msk_fall2016
Utep guest msk_fall2016klbrowne
 
Résumé économie monétaire et financière
Résumé économie monétaire et financièreRésumé économie monétaire et financière
Résumé économie monétaire et financièreahmed bne
 
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...Fadhila BRAHIMI
 
New CSS Meets the Real World
New CSS Meets the Real WorldNew CSS Meets the Real World
New CSS Meets the Real WorldRachel Andrew
 
La paie M.ACHRIT
La paie M.ACHRITLa paie M.ACHRIT
La paie M.ACHRITMa Ac
 
Cours de l histoire de la pensée economique s5
Cours de l histoire de la pensée economique s5Cours de l histoire de la pensée economique s5
Cours de l histoire de la pensée economique s5Jamal Yasser
 
Economie monetaire s3 [learneconomie.blogspot.com]]
Economie monetaire s3 [learneconomie.blogspot.com]]Economie monetaire s3 [learneconomie.blogspot.com]]
Economie monetaire s3 [learneconomie.blogspot.com]]Jamal Yasser
 
Politique monetaire s4 2016
Politique monetaire s4 2016Politique monetaire s4 2016
Politique monetaire s4 2016Jamal Yasser
 
R esume economie monetaire s4
R esume economie monetaire s4R esume economie monetaire s4
R esume economie monetaire s4Jamal Yasser
 
Cours complet grh 2014-2015
Cours complet grh 2014-2015Cours complet grh 2014-2015
Cours complet grh 2014-2015mohammed nadi
 
Droit commercial semestre4
Droit commercial semestre4Droit commercial semestre4
Droit commercial semestre4Jamal Yasser
 
Why your java script framework choice doesn't matter!
Why your java script framework choice doesn't matter!Why your java script framework choice doesn't matter!
Why your java script framework choice doesn't matter!Maciej Adamczak
 

En vedette (16)

Mokumevents
MokumeventsMokumevents
Mokumevents
 
Etude: Tendances TV internationales - la télévision enrichie
Etude: Tendances TV internationales - la télévision enrichie  Etude: Tendances TV internationales - la télévision enrichie
Etude: Tendances TV internationales - la télévision enrichie
 
SEOloger - December 2016
SEOloger - December 2016SEOloger - December 2016
SEOloger - December 2016
 
Utep guest msk_fall2016
Utep guest msk_fall2016Utep guest msk_fall2016
Utep guest msk_fall2016
 
Résumé économie monétaire et financière
Résumé économie monétaire et financièreRésumé économie monétaire et financière
Résumé économie monétaire et financière
 
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...
Comment mesurer la performance avec le social roi ? Résumé du livre blanc de ...
 
New CSS Meets the Real World
New CSS Meets the Real WorldNew CSS Meets the Real World
New CSS Meets the Real World
 
La paie M.ACHRIT
La paie M.ACHRITLa paie M.ACHRIT
La paie M.ACHRIT
 
Cours de l histoire de la pensée economique s5
Cours de l histoire de la pensée economique s5Cours de l histoire de la pensée economique s5
Cours de l histoire de la pensée economique s5
 
Economie monetaire s3 [learneconomie.blogspot.com]]
Economie monetaire s3 [learneconomie.blogspot.com]]Economie monetaire s3 [learneconomie.blogspot.com]]
Economie monetaire s3 [learneconomie.blogspot.com]]
 
Politique monetaire s4 2016
Politique monetaire s4 2016Politique monetaire s4 2016
Politique monetaire s4 2016
 
Next-level CSS
Next-level CSSNext-level CSS
Next-level CSS
 
R esume economie monetaire s4
R esume economie monetaire s4R esume economie monetaire s4
R esume economie monetaire s4
 
Cours complet grh 2014-2015
Cours complet grh 2014-2015Cours complet grh 2014-2015
Cours complet grh 2014-2015
 
Droit commercial semestre4
Droit commercial semestre4Droit commercial semestre4
Droit commercial semestre4
 
Why your java script framework choice doesn't matter!
Why your java script framework choice doesn't matter!Why your java script framework choice doesn't matter!
Why your java script framework choice doesn't matter!
 

Similaire à Manufacturing Engineer Resume

BPippey_resume 2 Pg
BPippey_resume 2 PgBPippey_resume 2 Pg
BPippey_resume 2 PgBill Pippey
 
Gary giblock resume 2 21-17
Gary giblock resume 2 21-17Gary giblock resume 2 21-17
Gary giblock resume 2 21-17Gary Giblock
 
Todd Watson Resume
Todd Watson ResumeTodd Watson Resume
Todd Watson Resumemtwatson
 
Post Processing
Post Processing Post Processing
Post Processing KTN
 
Jimmy Hsu English Resume
Jimmy Hsu English ResumeJimmy Hsu English Resume
Jimmy Hsu English ResumeJimmy Hsu
 
JDick Resume 9-2015
JDick Resume 9-2015JDick Resume 9-2015
JDick Resume 9-2015John Dick
 
Process Control & CV history
Process Control & CV historyProcess Control & CV history
Process Control & CV historyacdnexpat
 
Steve James Resume
Steve James   ResumeSteve James   Resume
Steve James Resumesejames8808
 
ProSIM engineering consultancy offerings to nuclear power sector
ProSIM engineering consultancy offerings to nuclear power sectorProSIM engineering consultancy offerings to nuclear power sector
ProSIM engineering consultancy offerings to nuclear power sectorProSIM R & D Pvt. Ltd.
 
Tsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyTsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyUiuc Tsa
 
ASM Overview Engineering Semicon West - 2017
ASM Overview Engineering Semicon West - 2017ASM Overview Engineering Semicon West - 2017
ASM Overview Engineering Semicon West - 2017ASM Technologies Ltd
 
Twaddle_resume_160523
Twaddle_resume_160523Twaddle_resume_160523
Twaddle_resume_160523Thomas Waddle
 
Gary giblock resume 1 31-17
Gary giblock resume 1 31-17Gary giblock resume 1 31-17
Gary giblock resume 1 31-17Gary Giblock
 
Joe Daggett-Bruntz resume Rev1 2016
Joe Daggett-Bruntz resume Rev1 2016Joe Daggett-Bruntz resume Rev1 2016
Joe Daggett-Bruntz resume Rev1 2016Joe Bruntz Daggett
 

Similaire à Manufacturing Engineer Resume (20)

BPippey_resume 2 Pg
BPippey_resume 2 PgBPippey_resume 2 Pg
BPippey_resume 2 Pg
 
Gary giblock resume 2 21-17
Gary giblock resume 2 21-17Gary giblock resume 2 21-17
Gary giblock resume 2 21-17
 
Todd Watson Resume
Todd Watson ResumeTodd Watson Resume
Todd Watson Resume
 
Post Processing
Post Processing Post Processing
Post Processing
 
Jimmy Hsu English Resume
Jimmy Hsu English ResumeJimmy Hsu English Resume
Jimmy Hsu English Resume
 
JDick Resume 9-2015
JDick Resume 9-2015JDick Resume 9-2015
JDick Resume 9-2015
 
Resume Mda2
Resume Mda2Resume Mda2
Resume Mda2
 
robert-doucette_resume_
robert-doucette_resume_robert-doucette_resume_
robert-doucette_resume_
 
Process Control & CV history
Process Control & CV historyProcess Control & CV history
Process Control & CV history
 
VinceResume2016.7
VinceResume2016.7VinceResume2016.7
VinceResume2016.7
 
Steve James Resume
Steve James   ResumeSteve James   Resume
Steve James Resume
 
ProSIM engineering consultancy offerings to nuclear power sector
ProSIM engineering consultancy offerings to nuclear power sectorProSIM engineering consultancy offerings to nuclear power sector
ProSIM engineering consultancy offerings to nuclear power sector
 
Resume
ResumeResume
Resume
 
Tsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copyTsmc us recruitment fresh final copy
Tsmc us recruitment fresh final copy
 
ASM Overview Engineering Semicon West - 2017
ASM Overview Engineering Semicon West - 2017ASM Overview Engineering Semicon West - 2017
ASM Overview Engineering Semicon West - 2017
 
Twaddle_resume_160523
Twaddle_resume_160523Twaddle_resume_160523
Twaddle_resume_160523
 
foreman_resume_v2_02132017
foreman_resume_v2_02132017foreman_resume_v2_02132017
foreman_resume_v2_02132017
 
Gary giblock resume 1 31-17
Gary giblock resume 1 31-17Gary giblock resume 1 31-17
Gary giblock resume 1 31-17
 
Tom wasley mtc
Tom wasley   mtcTom wasley   mtc
Tom wasley mtc
 
Joe Daggett-Bruntz resume Rev1 2016
Joe Daggett-Bruntz resume Rev1 2016Joe Daggett-Bruntz resume Rev1 2016
Joe Daggett-Bruntz resume Rev1 2016
 

Manufacturing Engineer Resume

  • 1. Michael D. Besket (805) 208-0510 • Michael.Besket@gmail.com Linked In profile: www.linkedin.com/pub/michael-besket/22/9ba/356/ SUMMARY OF SKILLS: I have held many positions in my manufacturing career. I have been a Production Lead, a Supervisor, a Project Team Leader, a Manufacturing/Process Engineer, and an Equipment Technician. COMPETENCIES: High Vacuum: Plasma etching: Software: Evaporation Isotropic polyimide etching AutoCAD Sputtering RIE polyimide etching Minitab Ion sources Plasma cleaning esiCAM Equipment troubleshoot & repair Equipment troubleshoot & repair Microsoft Office Equipment maintenance Equipment maintenance Lotus Notes Laser processing: Other skills: Microvia drill: thin flex circuits Documentation Micromachining: thin flex circuits (UV, CO2) Training/Mentor/Supervisor Program generation Project leadership Equipment maintenance Capital equipment selection/procurement Equipment troubleshoot & repair Equipment installation Conveyorized spray equipment set-up EXPERIENCE: Contract Engineer; Life Insurance Sales; Driving Instructor 3/2014-Present Career Technologies; American Income Life; DriversEd.com Created process procedures for plasma etching, ESI UV laser drilling/cutting, copper etching, photo-resist stripping, photo-imaging. Performed additional process development and training for ESI UV laser and Plasma Etch, Inc. plasma etcher. Performed minor plasma etcher repair. Obtained Life and Health insurance license and sold life insurance policies briefly. Obtained driving instructor license and currently training to be a driving instructor. Manufacturing Engineer, Laser & High Vacuum Processes 1/2006-3/2014 3M Company, Canoga Park, CA Accomplishments: Lean Six Sigma Green Belt training Equipment Specification and Installation:  Successful installation and adjustments of Chemcut conveyorized spray cleaning system for copper foil cleaning  Research and specified new UV Laser micro-machining system.  CO2 laser micro-machining system: developed processes for multiple copper foil types and multiple polyimide thickness  Oversaw the refurbishment and installation of re-located UV laser system  RIE style plasma etcher for flexible PCB production  Developed etching and cleaning processes for new RIE plasma etcher (reduced cycle times) Implemented process techniques to reduce particulate contamination Developed training requirements with documentation and qualification tests for laser operators Created training documentation for copper etch process (using laser training docs as a 'template') Responsibilities: Michael D Besket michael.besket@gmail.com
  • 2.  Define and document production processes  Monitor manufacturing processes daily seeking opportunities for cycle time reduction and/or quality improvement  Investigate/resolve process issues as they arise  Develop and document equipment maintenance procedures  Schedule maintenance for least disruptive impact on production  Research, specify, recommend, and acquire budget approval for new capital equipment purchases and oversee all aspects of installation and validation  Train technicians and supervisors on new equipment and processes Process Engineer 11/2000-12/2005 Siemens Ultrasound, Canoga Park, CA Accomplishments: Maintained operation of obsolete CO2 laser system to enable production to continue Researched, specified, acquired budget approval for two UV laser systems and oversaw installation and implementation Developed process and documentation for UV laser micro-machining (trained operators) Established procedures and documents for laser programming using AutoCAD and esiCAM Responsibilities: Sustaining engineering of sputtering, plasma etching, and laser processes Equipment troubleshooting and repair of sputtering system, plasma etcher, UV and CO2 lasers Process Engineer 2/1992-10/2000 Acuson Corporation, Canoga Park, CA Accomplishments: Installed CO2 laser system: developed, documented process and procedures (trained operators) Researched and selected sputtering system vendor, secured capital budget approval, installed equipment, and developed sputtering processes (interviewed, selected and trained operators) Used designed experiments to develop low-Flourine plasma etching and cleaning processes Responsibilities: Sustaining Engineering: sputtering, plasma etcher, CO2 laser Equipment troubleshooting and repair of: sputtering system, plasma etcher, CO2 laser system Expedited prototype flexible printed circuits for medical ultrasound (prototype tech) Ensured flex circuit prototypes moved smoothly through pilot production phases (finding and correcting issues)(tech) PREVIOUS EXPERIENCE: GaAs wafer fabrication: Process equipment repair and maintenance: Process development and sustaining E-beam evaporation Metal and “cermet” sputtering Magnetron DC and RF sputtering High vacuum metal evaporation PECVD system PECVD deposited SiO2 and Si3N4 thin films Thin film processing and vacuum PVD system repair and maintenance Rebuilt two high vacuum systems Production Supervisor Coordinate activities of process operators Maintain safety standards Bipolar silicon wafer fab experience EDUCATION & PROFESSIONAL DEVELOPMENT COURSES: Undergraduate courses in math & science at Moorpark College American Vacuum Society Short Courses: Evaporation Emergency response team training (40 hour course) Sputtering CPR and first aid Plasma Processes ESI Laser Applications Course Ion Sources Reducing Contamination in High Vacuum Systems Michael D Besket michael.besket@gmail.com