SlideShare une entreprise Scribd logo
1  sur  24
FLOOR PLANNING
BY AMIT KR. CHAMOLI
Outlines
 Introduction
 Efficacy
 Merits
 Input/Output
 Floorplanning Problem
 Challenges
 Floorplanning Representations and Approaches
 Floorplanning Model
 Algorithms
 Assignment
 Conclusion
Introduction
 Floorplanning is an essential element of
hierarchical design flows, especially for
large SoC(System On Chip) designs. A
typical SoC could include hundreds of
RAMs, soft and hard IP(Intellectual
property), analog blocks, and multiple
power domains.
 A hierarchical methodology that extends
the capacity of design-automation tools,
improves tool runtimes, and mitigates
overall design risk by minimizing last
minute design changes
Floorplanning
 block placement
 Pin assignment
 Design partitioning
 Time budgeting
 Power and clock planning
Efficacy
 Floorplanning is considered when the
Design has not met timing or does
not meet timing consistently
 Critical logic to Improve performance
 Reduce routing congestion
 Improve module-level performance
and Area
 Improve Implementation Run time
and consistency with partitions
Merits
 Eliminate Guess work
 Minimize the impact of surprises in
chip assembly
 Reduce the risks associated with
Hierarchical Flows and Shorten the
time to design closure
 Timing
 Congestion
 More Flexibility in Design layout
Floorplanning phase
 Input
A set of blocks with constraints on area,
shapes, relative positions, Constraints on
chip area and aspect ratio, Netlist.
 Output
Shapes, Locations, Pin positions of the
blocks
 Objective Functions
Performance, chip area, and wire length
Floorplanning Problem
The floorplanning problem is to plan the
positions and shapes of the modules at the
beginning of the design cycle to optimize
the circuit performance:
 chip area
 total wirelength
 delay of critical path
 routability
 others, e.g., noise, heat dissipation, etc.
Floorplanning Challenges
 Bad Input/output Pad and Macro
placement
 Inaccurate Timing ,Area and Power
estimation
 Inadequate Region shaping ,
Partitioning and Pin Assignment
Floorplanning strategies
 Floorplanning must take into account
blocks of varying function, size,
shape.
 Must design:
 space allocation
 signal routing
 power supply routing
 clock distribution
Purposes of Floorplanning
 Early in design:
 Prepare a floorplan to budget area, wire
area/delay.Tradeoffs between blocks can
be negotiated.
 Late in design:
 Make sure the pieces fit together as
planned.
 Implement the global layout.
Floorplanning: Why Important?
 Early stage of physical design
 Determines the location of large blocks
 detailed placement easier (divide and
conquer!)
 Estimates of area, delay, power
 important design decisions
 Impact on subsequent design steps
(e.g., routing, heat dissipation analysis
and optimization)
Floorplanning tips
• Develop a wiring plan. Think about how
layers will be used to distribute important
wires.
• Sweep small components into larger blocks.
A floorplan with a single NAND gate in the
middle will be hard to work with.
• Design wiring that looks simple. If it looks
complicated, it is complicated.
• Draw separate wiring plans for power and
clocking. These are important design tasks
which should be tackled early.
Representations and Approaches
 Two popular approaches to floorplan
1. Simulated annealing
2. Analytical formulation
 Floorplan representations
1. Normalized Polish expression
2. B*-tree
3. Sequence Pair
4. Polar Graph
Floorplanning Model
1. Slicing floorplans
2. Non-slicing floorplans
 Slicing Tree
 A binary tree that models a slicing
structure.
 Each node represents a vertical cut line
(V), or a horizontal cut line (H).
 A third kind of node called Wheel (W)
appears for non sliceable floorplans
Floorplanning Model (Cont)
A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
Floorplanning Algorithms
 Components
 “Placeholder” representation
 Usually in the form of a tree
 Slicing class: Polish expression
 Non-slicing class: O-tree, Sequence Pair, etc.
 Just defines the relative position of modules
 Perturbation
 Going from one floorplan to another
 Usually done using Simulated Annealing
 Floorplan sizing
 Choose the best shape for each module to minimize area
 Slicing: polynomial, bottom-up algorithm
 Non-slicing: Use mathematical programming (exact solution)
 Cost function
 Area, wire-length, ...
Classification of Algorithms
 Simulated Annealing
 Constraint Based methods
 (Integer) Linear Programming
Methods
 Rectangular Dualization Based
Methods
 Hierarchical Tree Based Methods
 Timing Driven Floorplanning
Algorithms
Simulated Annealing
 In this process, a material is first heated up
to a temperature that allow all its
molecules to move freely around and is
then cooled down very slowly.
 Perform computation that analogous to
physical process.
 The energy corresponds to the cost function
 Molecular movement corresponds to a sequence
of moves in the set of feasible solution
 Temperature corresponds to a control parameter
T which control the acceptance probability for a
move i.e. A good move
Wong-Liu Floorplanning Algorithm
 Uses simulated annealing
 Normalized Polish expressions represent
floorplans
 Cost function:
 cost = area + total WireLength
 Floorplan sizing is used to determine area
 After floorplan sizing, the exact location of each
module is known, hence wire-length can be
calculated
Wong-Liu Floorplanning Algorithm
(cont.)
 Moves:
 OP1: Exchange two operands that have
no other operands in between
 OP2: Complement a series of operators
between two operands
 OP3: Exchange adjacent operand and operator if the
resulting expression still a normalized Polish exp.
OP1OP1OP1OP1
OP1OP1OP1OP1 OP1OP1OP1OP1
12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
Assignment
 What are timing failure?
 What are the critical hierarchical
Block and Risk?
 Are changes/moves to the Floorplan
or critical logic going to be sufficient
to meet timing?
 Does anything else need to be
Floorplaned?
Conclusion
 Floorplanning is the foundation of a quality
IC implementation. The decisions made
regarding IO pad placement, macro
placement, partitioning, pin assignment,
and power planning ripple through the
place-and-route flow. Designers need
solutions that can handle extremely large
data sets, design variability and
complexity, in addition to enabling fast,
high-quality floorplanning.
Q & A
 THANK YOU

Contenu connexe

Tendances

vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
Anish Gupta
 

Tendances (20)

Kernighan lin
Kernighan linKernighan lin
Kernighan lin
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
FPGA
FPGAFPGA
FPGA
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Physical design
Physical design Physical design
Physical design
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
VLSi
VLSiVLSi
VLSi
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
FPGA
FPGAFPGA
FPGA
 
Timing Analysis
Timing AnalysisTiming Analysis
Timing Analysis
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustration
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 

Similaire à floor planning

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_Operation
Steven Gemeny
 

Similaire à floor planning (20)

UIC Thesis Morandi
UIC Thesis MorandiUIC Thesis Morandi
UIC Thesis Morandi
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_Operation
 
UIC Thesis Montone
UIC Thesis MontoneUIC Thesis Montone
UIC Thesis Montone
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksSimulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
 
Algorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryAlgorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model Recovery
 
3D-DRESD R4R
3D-DRESD R4R3D-DRESD R4R
3D-DRESD R4R
 
K.chart
K.chartK.chart
K.chart
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
 
Control term proj3012
Control term proj3012Control term proj3012
Control term proj3012
 
HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007
 
3D-DRESD Polaris
3D-DRESD Polaris3D-DRESD Polaris
3D-DRESD Polaris
 
Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).ppt
 
L1_vhdl_Intro.ppt
L1_vhdl_Intro.pptL1_vhdl_Intro.ppt
L1_vhdl_Intro.ppt
 
Chapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationChapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer Simulation
 

Plus de Team-VLSI-ITMU

Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
Team-VLSI-ITMU
 

Plus de Team-VLSI-ITMU (17)

Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
 
CNTFET
CNTFETCNTFET
CNTFET
 
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout Extraction
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
 

Dernier

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 

Dernier (20)

Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of Brazil
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 

floor planning

  • 2. Outlines  Introduction  Efficacy  Merits  Input/Output  Floorplanning Problem  Challenges  Floorplanning Representations and Approaches  Floorplanning Model  Algorithms  Assignment  Conclusion
  • 3. Introduction  Floorplanning is an essential element of hierarchical design flows, especially for large SoC(System On Chip) designs. A typical SoC could include hundreds of RAMs, soft and hard IP(Intellectual property), analog blocks, and multiple power domains.  A hierarchical methodology that extends the capacity of design-automation tools, improves tool runtimes, and mitigates overall design risk by minimizing last minute design changes
  • 4. Floorplanning  block placement  Pin assignment  Design partitioning  Time budgeting  Power and clock planning
  • 5. Efficacy  Floorplanning is considered when the Design has not met timing or does not meet timing consistently  Critical logic to Improve performance  Reduce routing congestion  Improve module-level performance and Area  Improve Implementation Run time and consistency with partitions
  • 6. Merits  Eliminate Guess work  Minimize the impact of surprises in chip assembly  Reduce the risks associated with Hierarchical Flows and Shorten the time to design closure  Timing  Congestion  More Flexibility in Design layout
  • 7. Floorplanning phase  Input A set of blocks with constraints on area, shapes, relative positions, Constraints on chip area and aspect ratio, Netlist.  Output Shapes, Locations, Pin positions of the blocks  Objective Functions Performance, chip area, and wire length
  • 8. Floorplanning Problem The floorplanning problem is to plan the positions and shapes of the modules at the beginning of the design cycle to optimize the circuit performance:  chip area  total wirelength  delay of critical path  routability  others, e.g., noise, heat dissipation, etc.
  • 9. Floorplanning Challenges  Bad Input/output Pad and Macro placement  Inaccurate Timing ,Area and Power estimation  Inadequate Region shaping , Partitioning and Pin Assignment
  • 10. Floorplanning strategies  Floorplanning must take into account blocks of varying function, size, shape.  Must design:  space allocation  signal routing  power supply routing  clock distribution
  • 11. Purposes of Floorplanning  Early in design:  Prepare a floorplan to budget area, wire area/delay.Tradeoffs between blocks can be negotiated.  Late in design:  Make sure the pieces fit together as planned.  Implement the global layout.
  • 12. Floorplanning: Why Important?  Early stage of physical design  Determines the location of large blocks  detailed placement easier (divide and conquer!)  Estimates of area, delay, power  important design decisions  Impact on subsequent design steps (e.g., routing, heat dissipation analysis and optimization)
  • 13. Floorplanning tips • Develop a wiring plan. Think about how layers will be used to distribute important wires. • Sweep small components into larger blocks. A floorplan with a single NAND gate in the middle will be hard to work with. • Design wiring that looks simple. If it looks complicated, it is complicated. • Draw separate wiring plans for power and clocking. These are important design tasks which should be tackled early.
  • 14. Representations and Approaches  Two popular approaches to floorplan 1. Simulated annealing 2. Analytical formulation  Floorplan representations 1. Normalized Polish expression 2. B*-tree 3. Sequence Pair 4. Polar Graph
  • 15. Floorplanning Model 1. Slicing floorplans 2. Non-slicing floorplans  Slicing Tree  A binary tree that models a slicing structure.  Each node represents a vertical cut line (V), or a horizontal cut line (H).  A third kind of node called Wheel (W) appears for non sliceable floorplans
  • 16. Floorplanning Model (Cont) A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
  • 17. Floorplanning Algorithms  Components  “Placeholder” representation  Usually in the form of a tree  Slicing class: Polish expression  Non-slicing class: O-tree, Sequence Pair, etc.  Just defines the relative position of modules  Perturbation  Going from one floorplan to another  Usually done using Simulated Annealing  Floorplan sizing  Choose the best shape for each module to minimize area  Slicing: polynomial, bottom-up algorithm  Non-slicing: Use mathematical programming (exact solution)  Cost function  Area, wire-length, ...
  • 18. Classification of Algorithms  Simulated Annealing  Constraint Based methods  (Integer) Linear Programming Methods  Rectangular Dualization Based Methods  Hierarchical Tree Based Methods  Timing Driven Floorplanning Algorithms
  • 19. Simulated Annealing  In this process, a material is first heated up to a temperature that allow all its molecules to move freely around and is then cooled down very slowly.  Perform computation that analogous to physical process.  The energy corresponds to the cost function  Molecular movement corresponds to a sequence of moves in the set of feasible solution  Temperature corresponds to a control parameter T which control the acceptance probability for a move i.e. A good move
  • 20. Wong-Liu Floorplanning Algorithm  Uses simulated annealing  Normalized Polish expressions represent floorplans  Cost function:  cost = area + total WireLength  Floorplan sizing is used to determine area  After floorplan sizing, the exact location of each module is known, hence wire-length can be calculated
  • 21. Wong-Liu Floorplanning Algorithm (cont.)  Moves:  OP1: Exchange two operands that have no other operands in between  OP2: Complement a series of operators between two operands  OP3: Exchange adjacent operand and operator if the resulting expression still a normalized Polish exp. OP1OP1OP1OP1 OP1OP1OP1OP1 OP1OP1OP1OP1 12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
  • 22. Assignment  What are timing failure?  What are the critical hierarchical Block and Risk?  Are changes/moves to the Floorplan or critical logic going to be sufficient to meet timing?  Does anything else need to be Floorplaned?
  • 23. Conclusion  Floorplanning is the foundation of a quality IC implementation. The decisions made regarding IO pad placement, macro placement, partitioning, pin assignment, and power planning ripple through the place-and-route flow. Designers need solutions that can handle extremely large data sets, design variability and complexity, in addition to enabling fast, high-quality floorplanning.
  • 24. Q & A  THANK YOU