SlideShare une entreprise Scribd logo
1  sur  14
 Arithmetic circuits form an important class of circuits in
digital systems.
 With the progress in the very large scale integration
(VLSI) circuit technology, many complex circuits are
made simple and easy.
 Algorithms that seemed impossible to implement are
now easy to implement by interfacing of hardware and
software using VLSI.
 So as to be familiar with the new technology and to
cope up with the electronic industry, we have chosen
this project as our mini project.
 In this project an arithmetic unit based on IEEE
standard for floating point numbers will be
implemented on Spartan3 FPGA Board. The
arithmetic unit will consist of 32-bit processing unit
which allows various arithmetic operations such as,
Addition, Subtraction, Multiplication, Division and
Square Root, on floating point numbers.
 From all these operations we have chosen addition
operation as a mini project in the existing year.
 Synthesis of the unit for the FPGA board will be done
using XILINX-ISE.
 Floating-point operations are useful for computations
involving large dynamic range, but they require
significantly more resources than integer operations.
 FPGAs offer reduced development time and costs
compared to application specific integrated circuits,
and their flexibility enables field upgrade and
adaptation of hardware to run-time conditions.
 Our main objective for this standard is that an
implementation of a floating-point system confirming
to this standard “can be realized in software, entirely
in hardware, or in any combination of software and
hardware”.
 As per IEEE-754 floating-point standard,
simple representation of floating point
uses binary fraction(F) and
Exponent(E).
i.e. N=F*2^E
 Having the types of single precision(32
bit) & double precision(64 bit).
 In single precision out of 32 bits a bit
is used for sign, 8 bits are used for
exponent(E) & 23 are for mantissa.
 In double precision out of 64 bits a bit is
used for sign, 11 bits are used for
exponent(E) & 52 are for mantissa.
 For example:-
Representation of 1259.125
Now consider two parts, first is real part
before fraction point & other after
point.
Representing them separately as
(1259)10=(10011101011)2
(0.125)10=(0.001)2
 Therefore
(1259.125)2=(4EB)16=(10011101011.001)2
 Now, we have to normalize it
i.e. (1.0011101011001) * 210
Here, 10 is exponent, biased exponent
is 137(10+127) 1.0011101011001 is
mantissa.
Sign Exponent Mantissa
0 10001001 0011101011001
1. Invalid Operation:-
 Some arithmetic operations are invalid, such as a division by zero or
square root of a negative number.
 The result of an invalid operation shall be a NaN (Not a number).
2. Inexact:-
 This exception should be signaled whenever the result of an arithmetic
operation is not exact due to the restricted exponent and/or precision
range.
3. Underflow:-
 Two events cause the underflow to be signaled, tininess and loss of
accuracy.
 Tininess is detected after or before rounding when a result lies between
±2Emin. Loss of accuracy is detected when the result is simply inexact or
only when a renormalizations loss occurs.
4. Overflow:-
 The overflow is signaled whenever the result exceeds the maximum value
that can be represented due to the restricted exponent range.
 It is not signaled when one of the operands is infinity, because infinity
arithmetic is always exact.
1. Compare exponents. If the exponents are not
equal, shift the fraction with the smaller
exponent right and add 1 to its exponent;
repeat until the exponents are equal.
2. Add the fractions(significants).
3. If the result is 0, set the exponent to the
appropriate representation for 0 and exit.
4. If the fraction overflow occurs, shift right and
add 1 to the exponent to correct the overflow.
5. If the fraction is not normalized, shift left and
subtract 1 from the exponent until the fraction
is normalized.
6. Check the exponent overflow indicator, if
necessary.
7. Round to the appropriate number of bits. If still
it is not normalized go back to step 4.
 The main component for hardware
implementation of the project will be the
Spartan 3 FPGA development board.
 Interfacing will be done by using JTAG
programmable with parallel or USB
cables.
 The software used for the simulation of
the code will be Xilinx
 Low-cost, high-performance logic solution for high-volume, consumer-oriented applications
 Densities up to 74,880 logic cells
 Up to 633 I/O pins
 622+ Mb/s data transfer rate per I/O
 18 single-ended signal standards
 8 differential I/O standards including LVDS, RSDS
 Termination by Digitally Controlled Impedance
 Signal swing ranging from 1.14V to 3.465V
 Double Data Rate (DDR) support
 DDR, DDR2 SDRAM support up to 333 Mb/s
 Logic resources
 Abundant logic cells with shift register capability
 Wide, fast multiplexers
 Fast look-ahead carry logic
 Dedicated 18 x 18 multipliers
 JTAG logic compatible with IEEE 1149.1/1532
 Select RAM™ hierarchical memory
 Up to 1,872 Kbits of total block RAM
 Up to 520 Kbits of total distributed RAM
 Digital Clock Manager (up to four DCMs)
 Clock skew elimination
 Frequency synthesis
 High resolution phase shifting
 Eight global clock lines and abundant routing
 Fully supported by Xilinx ISE® and WebPACK™ software development systems
 MicroBlaze™ and PicoBlaze™ processor, PCI®, PCI Express® PIPE Endpoint, and other IP cores
THANK YOU

Contenu connexe

Tendances

Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpga
VLSICS Design
 
My profile
My profileMy profile
My profile
dhruv_63
 
Ecd302 unit 05(misc simulation tools)(new version)
Ecd302 unit 05(misc simulation tools)(new version)Ecd302 unit 05(misc simulation tools)(new version)
Ecd302 unit 05(misc simulation tools)(new version)
Xi Qiu
 

Tendances (20)

Cs6303 unit2
Cs6303 unit2 Cs6303 unit2
Cs6303 unit2
 
To count number of external events using LabVIEW
To count number of external events using LabVIEWTo count number of external events using LabVIEW
To count number of external events using LabVIEW
 
Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpga
 
To interface temperature sensor with microcontroller and perform closed loop ...
To interface temperature sensor with microcontroller and perform closed loop ...To interface temperature sensor with microcontroller and perform closed loop ...
To interface temperature sensor with microcontroller and perform closed loop ...
 
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
 
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsMultiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
 
Design flash adc 3bit (VHDL design)
Design flash adc 3bit (VHDL design)Design flash adc 3bit (VHDL design)
Design flash adc 3bit (VHDL design)
 
Two Bit Adder
Two Bit AdderTwo Bit Adder
Two Bit Adder
 
A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085
 
My profile
My profileMy profile
My profile
 
Analog to digital converter
Analog to digital converterAnalog to digital converter
Analog to digital converter
 
Digital Signal Conditioning
Digital Signal ConditioningDigital Signal Conditioning
Digital Signal Conditioning
 
Ie3614221424
Ie3614221424Ie3614221424
Ie3614221424
 
C011122428
C011122428C011122428
C011122428
 
Comm lab manual_final-1
Comm lab manual_final-1Comm lab manual_final-1
Comm lab manual_final-1
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
 
K045076266
K045076266K045076266
K045076266
 
Clockless chips
Clockless chipsClockless chips
Clockless chips
 
Dac
DacDac
Dac
 
Ecd302 unit 05(misc simulation tools)(new version)
Ecd302 unit 05(misc simulation tools)(new version)Ecd302 unit 05(misc simulation tools)(new version)
Ecd302 unit 05(misc simulation tools)(new version)
 

En vedette

All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
Gouthaman V
 

En vedette (9)

Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
 
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
 
Floating Point Numbers
Floating Point NumbersFloating Point Numbers
Floating Point Numbers
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
VERILOG CODE
VERILOG CODEVERILOG CODE
VERILOG CODE
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
LinkedIn SlideShare: Knowledge, Well-Presented
LinkedIn SlideShare: Knowledge, Well-PresentedLinkedIn SlideShare: Knowledge, Well-Presented
LinkedIn SlideShare: Knowledge, Well-Presented
 
Slideshare ppt
Slideshare pptSlideshare ppt
Slideshare ppt
 

Similaire à Final

fixed-point-vs-floating-point.ppt
fixed-point-vs-floating-point.pptfixed-point-vs-floating-point.ppt
fixed-point-vs-floating-point.ppt
RavikumarR77
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...
researchinventy
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Science
researchinventy
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging System
Melissa Luster
 
The Role Of Software And Hardware As A Common Part Of The...
The Role Of Software And Hardware As A Common Part Of The...The Role Of Software And Hardware As A Common Part Of The...
The Role Of Software And Hardware As A Common Part Of The...
Sheena Crouch
 
A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...
Alexander Decker
 
A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...
Alexander Decker
 
Paper id 25201467
Paper id 25201467Paper id 25201467
Paper id 25201467
IJRAT
 

Similaire à Final (20)

fixed-point-vs-floating-point.ppt
fixed-point-vs-floating-point.pptfixed-point-vs-floating-point.ppt
fixed-point-vs-floating-point.ppt
 
DSP Processor
DSP Processor DSP Processor
DSP Processor
 
IRJET- Single Precision Floating Point Arithmetic using VHDL Coding
IRJET-  	  Single Precision Floating Point Arithmetic using VHDL CodingIRJET-  	  Single Precision Floating Point Arithmetic using VHDL Coding
IRJET- Single Precision Floating Point Arithmetic using VHDL Coding
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Science
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging System
 
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
Building efficient 5G NR base stations with Intel® Xeon® Scalable Processors
 
The Role Of Software And Hardware As A Common Part Of The...
The Role Of Software And Hardware As A Common Part Of The...The Role Of Software And Hardware As A Common Part Of The...
The Role Of Software And Hardware As A Common Part Of The...
 
A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...
 
A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...A parallel 8 bit computer interface circuit and software for a digital nuclea...
A parallel 8 bit computer interface circuit and software for a digital nuclea...
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER) International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)
 
Lp2520162020
Lp2520162020Lp2520162020
Lp2520162020
 
Lp2520162020
Lp2520162020Lp2520162020
Lp2520162020
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1Digital logic-formula-notes-final-1
Digital logic-formula-notes-final-1
 
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
DESIGN OF DOUBLE PRECISION FLOATING POINT MULTIPLICATION ALGORITHM WITH VECTO...
 
Paper id 25201467
Paper id 25201467Paper id 25201467
Paper id 25201467
 
Nt1310 Unit 5 Algorithm
Nt1310 Unit 5 AlgorithmNt1310 Unit 5 Algorithm
Nt1310 Unit 5 Algorithm
 
Report on Embedded Based Home security system
Report on Embedded Based Home security systemReport on Embedded Based Home security system
Report on Embedded Based Home security system
 
At36276280
At36276280At36276280
At36276280
 

Dernier

Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
Earley Information Science
 

Dernier (20)

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 

Final

  • 1.
  • 2.  Arithmetic circuits form an important class of circuits in digital systems.  With the progress in the very large scale integration (VLSI) circuit technology, many complex circuits are made simple and easy.  Algorithms that seemed impossible to implement are now easy to implement by interfacing of hardware and software using VLSI.  So as to be familiar with the new technology and to cope up with the electronic industry, we have chosen this project as our mini project.
  • 3.  In this project an arithmetic unit based on IEEE standard for floating point numbers will be implemented on Spartan3 FPGA Board. The arithmetic unit will consist of 32-bit processing unit which allows various arithmetic operations such as, Addition, Subtraction, Multiplication, Division and Square Root, on floating point numbers.  From all these operations we have chosen addition operation as a mini project in the existing year.  Synthesis of the unit for the FPGA board will be done using XILINX-ISE.
  • 4.  Floating-point operations are useful for computations involving large dynamic range, but they require significantly more resources than integer operations.  FPGAs offer reduced development time and costs compared to application specific integrated circuits, and their flexibility enables field upgrade and adaptation of hardware to run-time conditions.  Our main objective for this standard is that an implementation of a floating-point system confirming to this standard “can be realized in software, entirely in hardware, or in any combination of software and hardware”.
  • 5.  As per IEEE-754 floating-point standard, simple representation of floating point uses binary fraction(F) and Exponent(E). i.e. N=F*2^E  Having the types of single precision(32 bit) & double precision(64 bit).  In single precision out of 32 bits a bit is used for sign, 8 bits are used for exponent(E) & 23 are for mantissa.
  • 6.  In double precision out of 64 bits a bit is used for sign, 11 bits are used for exponent(E) & 52 are for mantissa.  For example:- Representation of 1259.125 Now consider two parts, first is real part before fraction point & other after point. Representing them separately as (1259)10=(10011101011)2 (0.125)10=(0.001)2
  • 7.  Therefore (1259.125)2=(4EB)16=(10011101011.001)2  Now, we have to normalize it i.e. (1.0011101011001) * 210 Here, 10 is exponent, biased exponent is 137(10+127) 1.0011101011001 is mantissa. Sign Exponent Mantissa 0 10001001 0011101011001
  • 8.
  • 9. 1. Invalid Operation:-  Some arithmetic operations are invalid, such as a division by zero or square root of a negative number.  The result of an invalid operation shall be a NaN (Not a number). 2. Inexact:-  This exception should be signaled whenever the result of an arithmetic operation is not exact due to the restricted exponent and/or precision range. 3. Underflow:-  Two events cause the underflow to be signaled, tininess and loss of accuracy.  Tininess is detected after or before rounding when a result lies between ±2Emin. Loss of accuracy is detected when the result is simply inexact or only when a renormalizations loss occurs. 4. Overflow:-  The overflow is signaled whenever the result exceeds the maximum value that can be represented due to the restricted exponent range.  It is not signaled when one of the operands is infinity, because infinity arithmetic is always exact.
  • 10. 1. Compare exponents. If the exponents are not equal, shift the fraction with the smaller exponent right and add 1 to its exponent; repeat until the exponents are equal. 2. Add the fractions(significants). 3. If the result is 0, set the exponent to the appropriate representation for 0 and exit. 4. If the fraction overflow occurs, shift right and add 1 to the exponent to correct the overflow. 5. If the fraction is not normalized, shift left and subtract 1 from the exponent until the fraction is normalized. 6. Check the exponent overflow indicator, if necessary. 7. Round to the appropriate number of bits. If still it is not normalized go back to step 4.
  • 11.
  • 12.  The main component for hardware implementation of the project will be the Spartan 3 FPGA development board.  Interfacing will be done by using JTAG programmable with parallel or USB cables.  The software used for the simulation of the code will be Xilinx
  • 13.  Low-cost, high-performance logic solution for high-volume, consumer-oriented applications  Densities up to 74,880 logic cells  Up to 633 I/O pins  622+ Mb/s data transfer rate per I/O  18 single-ended signal standards  8 differential I/O standards including LVDS, RSDS  Termination by Digitally Controlled Impedance  Signal swing ranging from 1.14V to 3.465V  Double Data Rate (DDR) support  DDR, DDR2 SDRAM support up to 333 Mb/s  Logic resources  Abundant logic cells with shift register capability  Wide, fast multiplexers  Fast look-ahead carry logic  Dedicated 18 x 18 multipliers  JTAG logic compatible with IEEE 1149.1/1532  Select RAM™ hierarchical memory  Up to 1,872 Kbits of total block RAM  Up to 520 Kbits of total distributed RAM  Digital Clock Manager (up to four DCMs)  Clock skew elimination  Frequency synthesis  High resolution phase shifting  Eight global clock lines and abundant routing  Fully supported by Xilinx ISE® and WebPACK™ software development systems  MicroBlaze™ and PicoBlaze™ processor, PCI®, PCI Express® PIPE Endpoint, and other IP cores