SlideShare une entreprise Scribd logo
1  sur  4
Télécharger pour lire hors ligne
Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176

RESEARCH ARTICLE

www.ijera.com

OPEN ACCESS

Glitch Reduction in Low- Power Low- Frequency Multiplier
Bhethala Rajasekhar*, Vadite Nagaraju**, Zuber Basha Shaik***
*(Department of Electronics and communication engineering, NEC, Narasaraopet )
** (Department of Electronics and communication engineering, NEC, Narasaraopet)
*** (Department of Electronics and communication engineering, NEC, Narasaraopet)
ABSTRACT
Multiplication is an essential arithmetic operation for common DSP applications, such as filtering and fast
Fourier transform (FFT). To achieve high execution speed, parallel array multipliers are widely used. These
multipliers tend to consume most of the power in DSP computations, and thus power-efficient multipliers are
very important for the design of low-power DSP systems. A straightforward approach is to design a full adder
(FA) that consumes less power. Power reduction can also be achieved through structural modification. For
example, rows of partial products can be ignored. In this project a 10 transistor full adder is designed for low
power which is used in the implementation of different types of multipliers. All these multipliers are compared
for different technologies. A power gating technique is used by placing an MTCMOS cell is used at fine grain
level so as to minimize the leakage power.
Keywords – Multiplier, Glitch, Power gates, Half adder and Transmission gates

I.

Introduction

The next generation of wireless network
requires High-Speed and low- power Digital Signal
Processing(DSP) System-on-Chip(SoC).Amongst the
building blocks of a DSP system a Multiplier is an
essential component that has a significant role in both
Speed and Power performances of the entire system.
Most digital signal processor (DSP) systems
incorporate a multiplication unit to implement
algorithms such as Convolution and Filtering. In
many DSP algorithms, the Multiplier in the critical
path and ultimately determines the performance of
the algorithm. However, the demand for HighPerformance
portable
systems
incorporating
multimedia capabilities has elevated the design for
Low-Power to the forefront of design requirement in
order to maintain reliability and provide longer hours
of operation. Comparing with other functions, the
longest delay in Multiplier corresponds to the adder,
so it is necessary to design a faster one. Multipliers
are on the critical path of many computational
applications .Examples are Real-time Digital Signal
Processing, Floating Point applications, or
Computers. Designing Low-Power fast Multipliers
has been a great theoretical and practical interest for
computer scientists and engineers. Several algorithms
and VLSI implementations have been proposed and
practically used. The proposed High-Speed
multiplication algorithm which postpones the carrypropagation to the last stage where two 2(n-1)-bit
numbers are added using a fast carry-look-ahead
adder (CLA). Depending on the application, one of
the parameters like speed, power consumption, or
area might be of great priority. Based on this
www.ijera.com

criterion, the designer may decide to design the
Multiplier. It was decided to optimize the Multiplier
for Low-Power operation, so here there is a
compromise between speed and power, the power is
chosen as the first priority. After power, the other
priorities are Speed and Area, respectively. In the
signal processing offered in modern audio
applications, multipliers are certainly among the most
power-hungry elaboration units. Three fundamental
approaches have been proposed to abate glitch
generation and propagation in array multipliers,
namely:
1) Shortening full-adder chains.
2) Equalizing internal delays.
3) Aligning sum and carry signals.
In this project, we first propose a MTCMOS
cell to minimize the leakage and total power
consumption of a static CMOS circuit for a given
performance. In a conventional design area overhead
and power consumption will be more. So that in
order to reduce the area overhead and power
consumption of a particular CMOS circuit MTCMOS
cell is used. Due to this area and total power
decreases significantly.

II.

Subsystem Design Components

2.1

Power gating
Power Gating is effective for reducing
leakage power. Power gating is the technique
wherein circuit blocks that are not in use are
temporarily turned off to reduce the overall leakage
power of the chip. This temporary shutdown time can
also call as "low power mode" or "inactive mode".
When circuit blocks are required for operation once
173 | P a g e
Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176
again they are activated to "active mode". These two
modes are switched at the appropriate time and in the
suitable manner to maximize power performance
while minimizing impact to performance. Thus goal
of power gating is to minimize leakage power by
temporarily cutting power off to selective blocks that
are not required in that mode.
2.2. Types of Multipliers
Multipliers are categorized relative to their
applications, architecture and the way the partial
products are produced and summed up. Based on all
these, a designer might find following types of
multipliers.
2.2.1 Array Multiplier
Array Multiplier is an efficient layout of a
combination multiplier. It accepts all bits
simultaneously. The longest product calculation
delay in it depends on the speed of the adders. An nbit multiplier requires „n (n-1)‟ full adders and „n2‟
AND gates. In array multipliers, the counters and
compressors are connected in a serial fashion for all
bit slices of the Partial Product parallelogram. There
are several possible array topologies including
simple, double and higher order arrays.

Fig 1: Array multiplier structure
2.2.2

Tree multipliers
In order to speed up the process of addition
of partial products, tree based structure is used. In
tree architecture, the compressors are connected for
each bit slice in the PP parallelogram. Normally, they
are used in parallel. Although the trees are faster than
arrays, they both use the same number of
compressors to reduce the partial products.
Serial multipliers
Bit serial arithmetic is a viable alternative in
digital signal processing applications to traditional
bit-parallel arithmetic. The major advantage of bit
serial arithmetic is that it significantly reduces chip
area. This is done in two ways; first it eliminates
wide buses and simplifies wire routing. Secondly,
small processing elements are used which make the
chip smaller and require short wiring. Most of the bit
serial multipliers are based on the shift and add

www.ijera.com

algorithm where several bit products are added in
each time slot. Bit serial multipliers are classified as
serial/parallel multiplier, Transposed serial/parallel
multiplier and S/P multiplier-accumulator.
2.3 TRANSMISSION GATES
A CMOS transmission gate is created by
connecting an nFET and pFET in Parallel. The nFET
Mn is controlled by the signal s, while the pFET Mp
is controlled by the complement s. When wired in
this manner, the pair acts as a good electrical switch
between the input and the output variables x and y
respectively.
The operation of the switch can be
understood by analyzing the two cases for s. if s=0,
the nFET is OFF; since s =1, the pFET is also OFF,
so that the TG acts as an open switch. In this case,
there is no relationship between x and y. For the
opposite case where s=1 and s =0, both FETS are on,
and the TG provides a good conducting path between
x and y. logically, this is identical to the switching of
an nFET so that we may write
Y = x.s if s=1
…………………………… (1)
This assumes that x is the input and y is the
output. However, the TG is classified as a bidirectional switch. The TG symbol is based on this
observation. It is created using two back-to-back
arrows indicating that the data can flow in either
direction. Control is achieved by s and s ; the bubble
indicates connection to the pFET gate.
Transmission gates are useful because they
can transmit the entire voltage range[0,vDD] from
left to right(or vice versa).This is due to the parallel
connection of the transistors. Zero voltage levels are
transmitted by the nFET, while the pFET is
responsible for transmitting the power supply voltage
VDD. The first paragraph under each heading or
subheading should be flush left, and subsequent
paragraphs should have a five-space indentation. A
colon is inserted before an equation is presented, but
there is no punctuation following the equation. All
equations are numbered and referred to in the text
solely by a number enclosed in a round bracket.

2.2.3

www.ijera.com

Fig 2: Transmission gate
174 | P a g e
Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176
III.

www.ijera.com

DESIGN OF MULTIPLIER CIRCUIT
STRUCTURES

3.1CELL-1 STRUCTURE
The 4X4 bit Array Multiplier has two
different cells which are named as cell-1 and cell-2.
The implementation of cell-1 consists of
conventional 36 transistor full adder as shown in
figure 3.

Fig 3: Schematic view of the designed cell-1
structure
3.2 CELL-2 STRUCTURE
The second type of cell named as cell-2
consists of 10-Transistor full adder cells and
MTCMOS cells. The full adders used in cell-2 can be
designed by using 10-Transistors and the design. The
schematic view of the cell-2 structure is as shown in
figure 4.

Fig 5: Schematic view of the designed 4X4 Array
Multiplier
4.1 Simulated result and layouts
The simulated result of designed the 4x4
array multiplier using cell-1 and cell-2 structures is
shown below.

Fig 6: Simulated result

Fig 4: Schematic view of the designed cell-2
structure

IV.

DESIGN OF 4X4 ARRAY
MULTIPLIER BLOCK

We designed the 4x4 array multiplier using
cell-1 and cell-2 structures.

Fig 7: layout output

www.ijera.com

175 | P a g e
Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176
V.

www.ijera.com

CONCLUSION

Power optimization can be done at different
levels at the design, i.e at system level, algorithm
level, architecture level, logic level, circuit level, etc.
Here a 1-bit full adder is designed for low power and
minimum area. This can be called as a high
performance design as the number of transistors is
reduced in the circuit which consumes less power.
Here it is observed that a 65-70% of area overhead is
reduced as well the power.
Different multipliers are constructed using
this full adder for low power, which is an important
block of the design as this is repeatedly used. To
produce each and every partial product more than
50% of performance improvement is observed for
every multiplier that is being constructed.

REFERENCES
[1].

[2]

[3].
[4].

[5].

[6]

[7]

[8]

flavio carbognani, felix buergin, norbert
felber, hubert kaeslin,member,ieee,and
wolfgang fichtner,fellow,ieee”transmission
gates combined with level-restoring cmos
gates reduce glitches in low-power lowfrequency multipliers”IEEE transactions on
very large scale integration (vlsi)
systems,vol.16,no.7,july2008.
.john
p.uyemura“cmos
logic
circuit
design”springer international edition-2005
34
john p.uyemura“chip design for submicron
vlsi”thomson india edition-2007.
neil h.e.weste, david harris, ayan
banerjee“cmos vlsi design”third edition
pearson education india edition-2006.
douglas a.pucknell, kamran eshragian“basic
vlsi design”prentice hall of india pvt ltd
third edition-2005.
M. Alioto and G. Palumbo, “Analysis and
comparison on full adder block in
Submicron technology,” IEEE Trans. Very
Large Scale Integer. (VLSI) Syst., vol. 10,
no. 6, pp. 806–823, Dec. 2002.
J.-H. Chang, J. Gu, and M. Zhang, “A
review of 0.18-m full adder Performances
for tree structured arithmetic circuits,” IEEE
Trans. VeryLarge Scale Integer. (VLSI)
Syst., vol. 13, no. 6, pp. 686–695, Jun. 2005.
A. M. Shams, T. K. Darwish, and M. A.
Bayoumi, “Performance analysis of Lowpower 1-bit CMOS full adder cells,” IEEE
Trans. Very Large Scale Integer. (VLSI)
Syst., vol. 10, no. 1, pp. 20–29, Feb. 2002.

www.ijera.com

176 | P a g e

Contenu connexe

Tendances

Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...ecejntuk
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
Towards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible CircuitsTowards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible CircuitsIJSRED
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...IOSR Journals
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAIOSR Journals
 
A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...journal ijme
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...VLSICS Design
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimizationijsrd.com
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...TELKOMNIKA JOURNAL
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...IJERA Editor
 

Tendances (16)

Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Towards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible CircuitsTowards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible Circuits
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Ko2518481855
Ko2518481855Ko2518481855
Ko2518481855
 
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
 
A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
 
Dx34756759
Dx34756759Dx34756759
Dx34756759
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimization
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...
Research of 64-bits RISC Dual-core Microprocessor with High Performance and L...
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
 

En vedette (20)

Z4103148155
Z4103148155Z4103148155
Z4103148155
 
N41038288
N41038288N41038288
N41038288
 
T4103123127
T4103123127T4103123127
T4103123127
 
J41035862
J41035862J41035862
J41035862
 
Bc4103338340
Bc4103338340Bc4103338340
Bc4103338340
 
N41049093
N41049093N41049093
N41049093
 
S4103115122
S4103115122S4103115122
S4103115122
 
Q4103103110
Q4103103110Q4103103110
Q4103103110
 
P410498102
P410498102P410498102
P410498102
 
W4103136140
W4103136140W4103136140
W4103136140
 
Aq4103266271
Aq4103266271Aq4103266271
Aq4103266271
 
B41030620
B41030620B41030620
B41030620
 
Aw4103303306
Aw4103303306Aw4103303306
Aw4103303306
 
An4103230235
An4103230235An4103230235
An4103230235
 
Sejarah TIK & Perkembangan TIK di Indonesia
Sejarah TIK & Perkembangan TIK di IndonesiaSejarah TIK & Perkembangan TIK di Indonesia
Sejarah TIK & Perkembangan TIK di Indonesia
 
Ferramentas da Linguagem Visual _ Maria de Lourdes Riobom
Ferramentas da Linguagem Visual _ Maria de Lourdes RiobomFerramentas da Linguagem Visual _ Maria de Lourdes Riobom
Ferramentas da Linguagem Visual _ Maria de Lourdes Riobom
 
Policia de Catalunya a Twitter (30-06-2015)
Policia de Catalunya a Twitter (30-06-2015)Policia de Catalunya a Twitter (30-06-2015)
Policia de Catalunya a Twitter (30-06-2015)
 
Drec Monit09
Drec Monit09Drec Monit09
Drec Monit09
 
SATYA PRAKASH JANGIR
SATYA PRAKASH JANGIRSATYA PRAKASH JANGIR
SATYA PRAKASH JANGIR
 
Our Aim
Our AimOur Aim
Our Aim
 

Similaire à Ad4103173176

Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET Journal
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplierijsrd.com
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesCSITiaesprime
 
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERSLalitha Gosukonda
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic eSAT Journals
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...VLSICS Design
 
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...ijsrd.com
 
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...eSAT Journals
 

Similaire à Ad4103173176 (20)

Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
 
J42046469
J42046469J42046469
J42046469
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplier
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...
 
Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
 
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
 
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
 
Is3515091514
Is3515091514Is3515091514
Is3515091514
 

Dernier

How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessPixlogix Infotech
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobeapidays
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Scriptwesley chun
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 

Dernier (20)

How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 

Ad4103173176

  • 1. Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176 RESEARCH ARTICLE www.ijera.com OPEN ACCESS Glitch Reduction in Low- Power Low- Frequency Multiplier Bhethala Rajasekhar*, Vadite Nagaraju**, Zuber Basha Shaik*** *(Department of Electronics and communication engineering, NEC, Narasaraopet ) ** (Department of Electronics and communication engineering, NEC, Narasaraopet) *** (Department of Electronics and communication engineering, NEC, Narasaraopet) ABSTRACT Multiplication is an essential arithmetic operation for common DSP applications, such as filtering and fast Fourier transform (FFT). To achieve high execution speed, parallel array multipliers are widely used. These multipliers tend to consume most of the power in DSP computations, and thus power-efficient multipliers are very important for the design of low-power DSP systems. A straightforward approach is to design a full adder (FA) that consumes less power. Power reduction can also be achieved through structural modification. For example, rows of partial products can be ignored. In this project a 10 transistor full adder is designed for low power which is used in the implementation of different types of multipliers. All these multipliers are compared for different technologies. A power gating technique is used by placing an MTCMOS cell is used at fine grain level so as to minimize the leakage power. Keywords – Multiplier, Glitch, Power gates, Half adder and Transmission gates I. Introduction The next generation of wireless network requires High-Speed and low- power Digital Signal Processing(DSP) System-on-Chip(SoC).Amongst the building blocks of a DSP system a Multiplier is an essential component that has a significant role in both Speed and Power performances of the entire system. Most digital signal processor (DSP) systems incorporate a multiplication unit to implement algorithms such as Convolution and Filtering. In many DSP algorithms, the Multiplier in the critical path and ultimately determines the performance of the algorithm. However, the demand for HighPerformance portable systems incorporating multimedia capabilities has elevated the design for Low-Power to the forefront of design requirement in order to maintain reliability and provide longer hours of operation. Comparing with other functions, the longest delay in Multiplier corresponds to the adder, so it is necessary to design a faster one. Multipliers are on the critical path of many computational applications .Examples are Real-time Digital Signal Processing, Floating Point applications, or Computers. Designing Low-Power fast Multipliers has been a great theoretical and practical interest for computer scientists and engineers. Several algorithms and VLSI implementations have been proposed and practically used. The proposed High-Speed multiplication algorithm which postpones the carrypropagation to the last stage where two 2(n-1)-bit numbers are added using a fast carry-look-ahead adder (CLA). Depending on the application, one of the parameters like speed, power consumption, or area might be of great priority. Based on this www.ijera.com criterion, the designer may decide to design the Multiplier. It was decided to optimize the Multiplier for Low-Power operation, so here there is a compromise between speed and power, the power is chosen as the first priority. After power, the other priorities are Speed and Area, respectively. In the signal processing offered in modern audio applications, multipliers are certainly among the most power-hungry elaboration units. Three fundamental approaches have been proposed to abate glitch generation and propagation in array multipliers, namely: 1) Shortening full-adder chains. 2) Equalizing internal delays. 3) Aligning sum and carry signals. In this project, we first propose a MTCMOS cell to minimize the leakage and total power consumption of a static CMOS circuit for a given performance. In a conventional design area overhead and power consumption will be more. So that in order to reduce the area overhead and power consumption of a particular CMOS circuit MTCMOS cell is used. Due to this area and total power decreases significantly. II. Subsystem Design Components 2.1 Power gating Power Gating is effective for reducing leakage power. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage power of the chip. This temporary shutdown time can also call as "low power mode" or "inactive mode". When circuit blocks are required for operation once 173 | P a g e
  • 2. Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176 again they are activated to "active mode". These two modes are switched at the appropriate time and in the suitable manner to maximize power performance while minimizing impact to performance. Thus goal of power gating is to minimize leakage power by temporarily cutting power off to selective blocks that are not required in that mode. 2.2. Types of Multipliers Multipliers are categorized relative to their applications, architecture and the way the partial products are produced and summed up. Based on all these, a designer might find following types of multipliers. 2.2.1 Array Multiplier Array Multiplier is an efficient layout of a combination multiplier. It accepts all bits simultaneously. The longest product calculation delay in it depends on the speed of the adders. An nbit multiplier requires „n (n-1)‟ full adders and „n2‟ AND gates. In array multipliers, the counters and compressors are connected in a serial fashion for all bit slices of the Partial Product parallelogram. There are several possible array topologies including simple, double and higher order arrays. Fig 1: Array multiplier structure 2.2.2 Tree multipliers In order to speed up the process of addition of partial products, tree based structure is used. In tree architecture, the compressors are connected for each bit slice in the PP parallelogram. Normally, they are used in parallel. Although the trees are faster than arrays, they both use the same number of compressors to reduce the partial products. Serial multipliers Bit serial arithmetic is a viable alternative in digital signal processing applications to traditional bit-parallel arithmetic. The major advantage of bit serial arithmetic is that it significantly reduces chip area. This is done in two ways; first it eliminates wide buses and simplifies wire routing. Secondly, small processing elements are used which make the chip smaller and require short wiring. Most of the bit serial multipliers are based on the shift and add www.ijera.com algorithm where several bit products are added in each time slot. Bit serial multipliers are classified as serial/parallel multiplier, Transposed serial/parallel multiplier and S/P multiplier-accumulator. 2.3 TRANSMISSION GATES A CMOS transmission gate is created by connecting an nFET and pFET in Parallel. The nFET Mn is controlled by the signal s, while the pFET Mp is controlled by the complement s. When wired in this manner, the pair acts as a good electrical switch between the input and the output variables x and y respectively. The operation of the switch can be understood by analyzing the two cases for s. if s=0, the nFET is OFF; since s =1, the pFET is also OFF, so that the TG acts as an open switch. In this case, there is no relationship between x and y. For the opposite case where s=1 and s =0, both FETS are on, and the TG provides a good conducting path between x and y. logically, this is identical to the switching of an nFET so that we may write Y = x.s if s=1 …………………………… (1) This assumes that x is the input and y is the output. However, the TG is classified as a bidirectional switch. The TG symbol is based on this observation. It is created using two back-to-back arrows indicating that the data can flow in either direction. Control is achieved by s and s ; the bubble indicates connection to the pFET gate. Transmission gates are useful because they can transmit the entire voltage range[0,vDD] from left to right(or vice versa).This is due to the parallel connection of the transistors. Zero voltage levels are transmitted by the nFET, while the pFET is responsible for transmitting the power supply voltage VDD. The first paragraph under each heading or subheading should be flush left, and subsequent paragraphs should have a five-space indentation. A colon is inserted before an equation is presented, but there is no punctuation following the equation. All equations are numbered and referred to in the text solely by a number enclosed in a round bracket. 2.2.3 www.ijera.com Fig 2: Transmission gate 174 | P a g e
  • 3. Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176 III. www.ijera.com DESIGN OF MULTIPLIER CIRCUIT STRUCTURES 3.1CELL-1 STRUCTURE The 4X4 bit Array Multiplier has two different cells which are named as cell-1 and cell-2. The implementation of cell-1 consists of conventional 36 transistor full adder as shown in figure 3. Fig 3: Schematic view of the designed cell-1 structure 3.2 CELL-2 STRUCTURE The second type of cell named as cell-2 consists of 10-Transistor full adder cells and MTCMOS cells. The full adders used in cell-2 can be designed by using 10-Transistors and the design. The schematic view of the cell-2 structure is as shown in figure 4. Fig 5: Schematic view of the designed 4X4 Array Multiplier 4.1 Simulated result and layouts The simulated result of designed the 4x4 array multiplier using cell-1 and cell-2 structures is shown below. Fig 6: Simulated result Fig 4: Schematic view of the designed cell-2 structure IV. DESIGN OF 4X4 ARRAY MULTIPLIER BLOCK We designed the 4x4 array multiplier using cell-1 and cell-2 structures. Fig 7: layout output www.ijera.com 175 | P a g e
  • 4. Bhethala Rajasekhar et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 4, Issue 1( Version 3), January 2014, pp.173-176 V. www.ijera.com CONCLUSION Power optimization can be done at different levels at the design, i.e at system level, algorithm level, architecture level, logic level, circuit level, etc. Here a 1-bit full adder is designed for low power and minimum area. This can be called as a high performance design as the number of transistors is reduced in the circuit which consumes less power. Here it is observed that a 65-70% of area overhead is reduced as well the power. Different multipliers are constructed using this full adder for low power, which is an important block of the design as this is repeatedly used. To produce each and every partial product more than 50% of performance improvement is observed for every multiplier that is being constructed. REFERENCES [1]. [2] [3]. [4]. [5]. [6] [7] [8] flavio carbognani, felix buergin, norbert felber, hubert kaeslin,member,ieee,and wolfgang fichtner,fellow,ieee”transmission gates combined with level-restoring cmos gates reduce glitches in low-power lowfrequency multipliers”IEEE transactions on very large scale integration (vlsi) systems,vol.16,no.7,july2008. .john p.uyemura“cmos logic circuit design”springer international edition-2005 34 john p.uyemura“chip design for submicron vlsi”thomson india edition-2007. neil h.e.weste, david harris, ayan banerjee“cmos vlsi design”third edition pearson education india edition-2006. douglas a.pucknell, kamran eshragian“basic vlsi design”prentice hall of india pvt ltd third edition-2005. M. Alioto and G. Palumbo, “Analysis and comparison on full adder block in Submicron technology,” IEEE Trans. Very Large Scale Integer. (VLSI) Syst., vol. 10, no. 6, pp. 806–823, Dec. 2002. J.-H. Chang, J. Gu, and M. Zhang, “A review of 0.18-m full adder Performances for tree structured arithmetic circuits,” IEEE Trans. VeryLarge Scale Integer. (VLSI) Syst., vol. 13, no. 6, pp. 686–695, Jun. 2005. A. M. Shams, T. K. Darwish, and M. A. Bayoumi, “Performance analysis of Lowpower 1-bit CMOS full adder cells,” IEEE Trans. Very Large Scale Integer. (VLSI) Syst., vol. 10, no. 1, pp. 20–29, Feb. 2002. www.ijera.com 176 | P a g e