SlideShare une entreprise Scribd logo
1  sur  3
Télécharger pour lire hors ligne
M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57
www.ijera.com 55 |
P a g e
Design and Implementation of an Efficient 64 bit MAC
Mohammad Shabber Hasan Khan1
, Abdussayeed Khan2
Master of Science in Electronics
Master of Science in Electronics
ABSTRACT
The design of optimized 64 bit multiplier and accumulator (MAC) unit is implemented in this paper. MAC unit
plays major role in many of the digital signal processing (DSP) applications. The MAC unit is designed with the
combinations of multipliers and adders. In the proposed method MAC unit is implemented using Vedic
multiplier and the adder is done with ripple carry adder .The components are reduced by implementing Vedic
multiplier using the techniques of Vedic mathematics that have been modified to improve performance. a high
speed processor depends significantly on the multiplier as it is one of the key hardware blocks in most digital
signal processing systems as well as in general processors. The area is optimized effectively using Vedic
multiplier .The total design implemented using Xilinx.
Keywords-Carry save adder, Digital signal processing (DSP), multiplier and accumulator (MAC)
I. INTRODUCTION
We have entered in the digital domain where the
digital signal processing is of main concern. The
performance of DSP is mainly dependent upon the
MAC unit. So by optimizing the MAC unit we can
optimize performance of the Digital Signal
Processors, and in MAC unit Multiplication is the
main operation where our proposed design of MAC
unit can be very useful. Multiplication is the most
time consuming amongst the basic mathematical
calculation. With these considerations, it is always
important to have fast and efficient mechanism to
implement mathematical functions.
Vedic Mathematics is a name which is heard
many times with reference to the techniques for
solving mathematics problem mentally. One of the
main purposes of Vedic mathematics is to transform
the tedious calculations into simpler, orally
manageable operation without much help of pen and
paper. Any ordinary human can perform mental
operations for very small magnitude of numbers and
hence Vedic mathematics provides techniques to
solve operations with large magnitude of numbers
easily. Vedic mathematics provides more than one
method for multiplication operations. For each
operation there is at least one generic method
provided along with some methods which are
directed towards specific cases simplifying the
calculations further. Vedic mathematics provides
algorithms to simplify the mathematics and hence is
perfect solution for the problem stated. After a
thorough and comparative study we have found that
Vedic multiplier designed by is better than other
available multipliers. A MAC unit consists of a
multiplier, adder and an accumulator containing the
sum of the previous successive products. The MAC
Unit obtain inputs from the memory location such as
RAM and given to the Multiplier.MAC Unit is used
in DSP Applications that uses discrete cosine
transform (DCT) or discrete wavelet transforms
(DWT). Where, Multiplication is accomplished by
repetitive application of addition, the speed of the
multiplication and addition arithmetic determines the
execution speed and performance of the entire
Calculation. The functionality of the MAC unit
enables high-speed filtering and other processing
which are typical for DSP applications.
Particularly, in applications like optical
Communication Systems which is based on DSP,
require extremely fast processing of huge amount of
digital data.
II. MAC UNIT
The Multiplier-Accumulator (MAC) operation is
the key operation not only in DSP applications but
also in multimedia information processing and
various other applications. As mentioned above,
MAC unit consist of multiplier, adder and
register/accumulator. In this paper, we used 64 bit
modified Wallace multiplier. The MAC Unit take
inputs from the memory location such as RAM and
given to the multiplier block. This is very useful in 64
bit digital signal processor. The input which is being
fed from the memory location is 64 bit. When the
input is given to the multiplier it starts computing
value for the given 64 bit input and hence the output
will be 128 bits. The multiplier output is given as the
input to carry save adder (CSA) which performs
addition. The function of the MAC unit is given by
the following equation
RESEARCH ARTICLE OPEN ACCESS
M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57
www.ijera.com 56 |
P a g e
--------(1)
Where, Ai & Bi are two 64 bit input Operands, Y
is the output of MAC Unit and i is a 64 bit value.
This Equation performs Summation of partial
products. The Carry Save Adder (CSA) produces 129
bit output. Since, one bit is for the carry (128 bits + 1
bit). Then, the output of CSA is given to the
accumulator register. The accumulator used is
designed with Parallel in Parallel out (PIPO) type.
Because the CSA Produces output in Parallel form
and also the bits are huge. PIPO register is used
where the input bits are given in parallel and output is
taken in parallel.
The Multiplier-Accumulator (MAC) operation is
the main key operation not only in DSP applications
but also in multimedia information processing and
various other applications. As mentioned above,
MAC unit consist of multiplier, adder and
register/accumulator. In this paper, we used 64 bit
Vedic multiplier. The multiply accumulate unit
computes the product of two numbers and adds that
product to an accumulator. The MAC unit consists of
a multiplier followed by an adder and an accumulator
register which stores the result.
Fig.1 Architecture of MAC
The architecture of the designed MAC unit is
shown in the figure 1. The two input 64 bit operand
to the MAC unit are A [63:0] and B [63:0].The 64 bit
output from MAC unit is Q [127:0]. The proposed
design uses one 64x64 Vedic multiplier using Urdhva
Tiryakbhyam algorithm. 128 bit accumulator using
Ripple carry adder and one 128 bit register. Vedic
multiplier design can increase MAC unit design
speed and reduce the Area.
Thus 64 bit Modified Wallace Tree Multiplier is
constructed and the total number of stages in the
second phase is ten. As per the equation the number
of row in each of the ten stages was calculated and
the use of half adders was restricted only to the Tenth
stage. The total number of half adders used in the
second phase is eight and the total number of full
adders that was used during the second phase is
slightly increased that in the Conventional Wallace
Tree Multiplier. Since, the 64 bit Modified Wallace
Tree Multiplier Representation is very difficult, A
Typical 10 bit by 10 bit in the Modified Wallace Tree
shows better Performance when CSA is used in final
stage instead of Ripple Carry Adder (RCA). The
Carry Save Adder (CSA) is a type of Digital Adder,
used to compute the sum of three or more number of
bits in binary form. CSA gives less propagation delay
and the glitching problem in RCA is also avoided.
Since, the Representation of 128 bit CSA is very
difficult.
Fig.2 CARRY SAVE ADDER
Here, we compute the sum of two 128 bit binary
numbers so 128 half adders at the first stage is
required instead of 128 full adders. Since, we add bits
of two binary numbers only. Each black cell consists
of two AND gates and one OR gate. Multiplexer is
combinational circuit which consists of multiple
inputs and a single output. Each gray cell consists of
only one AND gate. Pi denotes propagate and it
consists of only one AND gate [5] given in equation
2. Gi denotes generate and it consists of one AND
gate and OR gate [6] given in equation 3.
-------------- (2)
-- (3)
III. RESULT
The design is developed using VHDL, and the
previous work 64 bit MAC unit is designed using
M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57
www.ijera.com 57 |
P a g e
Modified Wallace multiplier. The LUT’s, Slices and
IOB’s comparative table are shown in the table1.
Simulation Waveform of 64 bit MAC unit are shown
in Fig. 3
Fig.3 Output Waveform
Table. 1 LUT’s, Slices and IOB’s
IV. CONCLUSION
This paper presents a highly efficient optimized
of MAC unit is implemented using Vedic multiplier
and the adder is done with ripple carry adder. The
components are reduced by implementing Vedic
multiplier using the techniques of “urdhva
tiryakbhyam sutra” based on Vedic mathematics is
modified to improve performance. a high speed
processor depends significantly on the multiplier as it
is one of the key hardware blocks in most digital
signal processing systems as well as in general
processors. The area is optimized effectively using
Vedic multiplier .it is observed that the Vedic
multiplier is much more efficient than Wallace
multiplier in terms of area. An awareness of Vedic
mathematics can be effectively improved if it is
integrated in engineering education.
REFERENCES
[1] P.jagadeesh“Design ofHigh Performance 64
bit MAC Unit” 2013 International
Conference on Circuits, Power and
Computing Technologies [ICCPCT-2013].
[2] W.J. Townsend, E.E. Swartzlander Jr., and
J.A. Abraham, “A Comparison of Dadda
and Wallace Multiplier Delays, ”Proc. SPIE,
Advanced Signal Processing Algorithms,
Architectures, and Implementations XIII,
pp. 552-560, 2003.
[3] M.H. Rais, M.H. Al Mijalli, “Braun’s
multipliers: Spartan-3AN based design and
implementation”, J. Comput. Sci., vo. 7, no.
(11), pp.1629-1632, 2011.
[4] L. Dadda, “Some Schemes for Parallel
Multipliers,” Alta Frequenza,vol. 34, pp.
349-356, 1965.
[5] C.S. Wallace, “A Suggestion for a Fast
Multiplier,” IEEE Trans.Electronic
Computers, vol. 13, no. 1, pp. 14-17, Feb.
1964.
[6] Ron S. Waters and Earl E. Swartzlander, Jr.,
"A Reduced Complexity Wallace Multiplier
Reduction," IEEE Transactions on
Computers, vol. 59, no. 8, Aug 20 10.
[7] Rais, M.H., 2009a. FPGA design and
implementation of fixed width standard and
truncated 6×6-bitmultipliers: A comparative
study. Proceedings of the 4th IEEE
International Design and TestWorkshop,
Nov. 15-17, IEEE Xplore Press,Riyadh,
Saudi Arabia,pp:14.DOI:10.1109/IDT.2009.
5404081
[8] Rais, M.H., 2010a. Hardware
implementation of truncated multipliers
using Spartan 3AN, Virtex-4and Virtex-5
devices. Am. J. Eng. Applied Sci., 3:201-
206. DOI: 10.3844/ajeassp.2010.201.206.
[9] Pushpalata Verma, K. K. Mehta, “
Implementation of an Efficient Multiplier
based on Vedic Mathematics Using EDA
Tool,” International Journal of Engineering
and Advanced Technology (IJEAT), ISSN:
2249 – 8958, Volume-1, Issue-5, June 2012.
[10] Manoranjan Pradhan, Rutuparna Panda,
Sushanta Kumar Sahu, “Speed Comparison
of 16x16 Vedic Multipliers,” International
Journal of Computer Applications (0975 –
8887),Volume 21– No.6, May 2011.
[11] Naveen K Gahlan, Prabhat, Jasbir Kaur
“Implementation of WallaceTree Multiplier
Using Compressor” International Journal of
Computer & Technology.
[12] W.J. Townsend, E.E. Swartzlander Jr., and
J.A. Abraham, “A Comparison of Dadda
and Wallace Multiplier Delays,”Proc.- SPIE,
Advanced Signal Processing Algorithms,
Architectures ,and Implementations XIII,
pp. 552-560, 2003.

Contenu connexe

Tendances

VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
iosrjce
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Angel Yogi
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
Navya Shree
 

Tendances (20)

Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
IJET-V3I1P14
IJET-V3I1P14IJET-V3I1P14
IJET-V3I1P14
 
High-Speed and Energy-Efficient MAC Design using Vedic Multiplier and Carry S...
High-Speed and Energy-Efficient MAC Design using Vedic Multiplier and Carry S...High-Speed and Energy-Efficient MAC Design using Vedic Multiplier and Carry S...
High-Speed and Energy-Efficient MAC Design using Vedic Multiplier and Carry S...
 
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTSIRJET-  	  Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
IRJET- Design of 16 Bit Low Power Vedic Architecture using CSA & UTS
 
VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
VLSI Implementation of Vedic Multiplier Using Urdhva– Tiryakbhyam Sutra in VH...
 
Content
ContentContent
Content
 
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
Implementation and Performance Analysis of a Vedic Multiplier Using Tanner ED...
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA
IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLAIMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA
IMPLEMENTATION OF UNSIGNED MULTIPLIER USING MODIFIED CSLA
 
Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speed
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
 
Vedic
VedicVedic
Vedic
 
An 8 bit_multiplier
An 8 bit_multiplierAn 8 bit_multiplier
An 8 bit_multiplier
 
IJET-V2I6P12
IJET-V2I6P12IJET-V2I6P12
IJET-V2I6P12
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
 
IIIRJET-Implementation of Image Compression Algorithm on FPGA
IIIRJET-Implementation of Image Compression Algorithm on FPGAIIIRJET-Implementation of Image Compression Algorithm on FPGA
IIIRJET-Implementation of Image Compression Algorithm on FPGA
 
2012
20122012
2012
 
VEDIC MULTIPLIER FOR "FPGA"
VEDIC MULTIPLIER FOR "FPGA"VEDIC MULTIPLIER FOR "FPGA"
VEDIC MULTIPLIER FOR "FPGA"
 

En vedette

Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
IJERA Editor
 

En vedette (18)

Classification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVMClassification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVM
 
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
 
Dynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary MachineDynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary Machine
 
Acoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in NigeriaAcoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in Nigeria
 
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
 
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
 
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jigInterfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
 
Analysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM TechnologyAnalysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM Technology
 
Based on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysisBased on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysis
 
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, ChandigarhStudy of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
Finger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free BeerFinger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free Beer
 
Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...
 
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
 
Review on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy EfficiencyReview on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy Efficiency
 
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
 
Fault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid DisturbancesFault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid Disturbances
 
Recovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsRecovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cells
 

Similaire à Design and Implementation of an Efficient 64 bit MAC

ALU Using Area Optimized Vedic Multiplier
ALU Using Area Optimized Vedic MultiplierALU Using Area Optimized Vedic Multiplier
ALU Using Area Optimized Vedic Multiplier
IJERA Editor
 
Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adderIaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd Iaetsd
 
Final Project Report
Final Project ReportFinal Project Report
Final Project Report
Riddhi Shah
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
ijceronline
 

Similaire à Design and Implementation of an Efficient 64 bit MAC (20)

Implementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth AlgorithmImplementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth Algorithm
 
design of high speed performance 64bit mac unit
design of high speed performance 64bit mac unitdesign of high speed performance 64bit mac unit
design of high speed performance 64bit mac unit
 
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates
 
DESIGN OF LOW POWER MULTIPLIER
DESIGN OF LOW POWER MULTIPLIERDESIGN OF LOW POWER MULTIPLIER
DESIGN OF LOW POWER MULTIPLIER
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
 
J045075661
J045075661J045075661
J045075661
 
Ramya Project
Ramya ProjectRamya Project
Ramya Project
 
ALU Using Area Optimized Vedic Multiplier
ALU Using Area Optimized Vedic MultiplierALU Using Area Optimized Vedic Multiplier
ALU Using Area Optimized Vedic Multiplier
 
Iaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adderIaetsd mac using compressor based multiplier and carry save adder
Iaetsd mac using compressor based multiplier and carry save adder
 
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
 
Bn26425431
Bn26425431Bn26425431
Bn26425431
 
Final Project Report
Final Project ReportFinal Project Report
Final Project Report
 
222083242 full-documg
222083242 full-documg222083242 full-documg
222083242 full-documg
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
An Optimized Implementation Of 64-Bit MAC Unit For DSP Applications Using SPST
An Optimized Implementation Of 64-Bit MAC Unit For DSP Applications Using SPSTAn Optimized Implementation Of 64-Bit MAC Unit For DSP Applications Using SPST
An Optimized Implementation Of 64-Bit MAC Unit For DSP Applications Using SPST
 

Dernier

Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
Epec Engineered Technologies
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Kandungan 087776558899
 

Dernier (20)

Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Air Compressor reciprocating single stage
Air Compressor reciprocating single stageAir Compressor reciprocating single stage
Air Compressor reciprocating single stage
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planes
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projects2016EF22_0 solar project report rooftop projects
2016EF22_0 solar project report rooftop projects
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdf
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Rums floating Omkareshwar FSPV IM_16112021.pdf
Rums floating Omkareshwar FSPV IM_16112021.pdfRums floating Omkareshwar FSPV IM_16112021.pdf
Rums floating Omkareshwar FSPV IM_16112021.pdf
 

Design and Implementation of an Efficient 64 bit MAC

  • 1. M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57 www.ijera.com 55 | P a g e Design and Implementation of an Efficient 64 bit MAC Mohammad Shabber Hasan Khan1 , Abdussayeed Khan2 Master of Science in Electronics Master of Science in Electronics ABSTRACT The design of optimized 64 bit multiplier and accumulator (MAC) unit is implemented in this paper. MAC unit plays major role in many of the digital signal processing (DSP) applications. The MAC unit is designed with the combinations of multipliers and adders. In the proposed method MAC unit is implemented using Vedic multiplier and the adder is done with ripple carry adder .The components are reduced by implementing Vedic multiplier using the techniques of Vedic mathematics that have been modified to improve performance. a high speed processor depends significantly on the multiplier as it is one of the key hardware blocks in most digital signal processing systems as well as in general processors. The area is optimized effectively using Vedic multiplier .The total design implemented using Xilinx. Keywords-Carry save adder, Digital signal processing (DSP), multiplier and accumulator (MAC) I. INTRODUCTION We have entered in the digital domain where the digital signal processing is of main concern. The performance of DSP is mainly dependent upon the MAC unit. So by optimizing the MAC unit we can optimize performance of the Digital Signal Processors, and in MAC unit Multiplication is the main operation where our proposed design of MAC unit can be very useful. Multiplication is the most time consuming amongst the basic mathematical calculation. With these considerations, it is always important to have fast and efficient mechanism to implement mathematical functions. Vedic Mathematics is a name which is heard many times with reference to the techniques for solving mathematics problem mentally. One of the main purposes of Vedic mathematics is to transform the tedious calculations into simpler, orally manageable operation without much help of pen and paper. Any ordinary human can perform mental operations for very small magnitude of numbers and hence Vedic mathematics provides techniques to solve operations with large magnitude of numbers easily. Vedic mathematics provides more than one method for multiplication operations. For each operation there is at least one generic method provided along with some methods which are directed towards specific cases simplifying the calculations further. Vedic mathematics provides algorithms to simplify the mathematics and hence is perfect solution for the problem stated. After a thorough and comparative study we have found that Vedic multiplier designed by is better than other available multipliers. A MAC unit consists of a multiplier, adder and an accumulator containing the sum of the previous successive products. The MAC Unit obtain inputs from the memory location such as RAM and given to the Multiplier.MAC Unit is used in DSP Applications that uses discrete cosine transform (DCT) or discrete wavelet transforms (DWT). Where, Multiplication is accomplished by repetitive application of addition, the speed of the multiplication and addition arithmetic determines the execution speed and performance of the entire Calculation. The functionality of the MAC unit enables high-speed filtering and other processing which are typical for DSP applications. Particularly, in applications like optical Communication Systems which is based on DSP, require extremely fast processing of huge amount of digital data. II. MAC UNIT The Multiplier-Accumulator (MAC) operation is the key operation not only in DSP applications but also in multimedia information processing and various other applications. As mentioned above, MAC unit consist of multiplier, adder and register/accumulator. In this paper, we used 64 bit modified Wallace multiplier. The MAC Unit take inputs from the memory location such as RAM and given to the multiplier block. This is very useful in 64 bit digital signal processor. The input which is being fed from the memory location is 64 bit. When the input is given to the multiplier it starts computing value for the given 64 bit input and hence the output will be 128 bits. The multiplier output is given as the input to carry save adder (CSA) which performs addition. The function of the MAC unit is given by the following equation RESEARCH ARTICLE OPEN ACCESS
  • 2. M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57 www.ijera.com 56 | P a g e --------(1) Where, Ai & Bi are two 64 bit input Operands, Y is the output of MAC Unit and i is a 64 bit value. This Equation performs Summation of partial products. The Carry Save Adder (CSA) produces 129 bit output. Since, one bit is for the carry (128 bits + 1 bit). Then, the output of CSA is given to the accumulator register. The accumulator used is designed with Parallel in Parallel out (PIPO) type. Because the CSA Produces output in Parallel form and also the bits are huge. PIPO register is used where the input bits are given in parallel and output is taken in parallel. The Multiplier-Accumulator (MAC) operation is the main key operation not only in DSP applications but also in multimedia information processing and various other applications. As mentioned above, MAC unit consist of multiplier, adder and register/accumulator. In this paper, we used 64 bit Vedic multiplier. The multiply accumulate unit computes the product of two numbers and adds that product to an accumulator. The MAC unit consists of a multiplier followed by an adder and an accumulator register which stores the result. Fig.1 Architecture of MAC The architecture of the designed MAC unit is shown in the figure 1. The two input 64 bit operand to the MAC unit are A [63:0] and B [63:0].The 64 bit output from MAC unit is Q [127:0]. The proposed design uses one 64x64 Vedic multiplier using Urdhva Tiryakbhyam algorithm. 128 bit accumulator using Ripple carry adder and one 128 bit register. Vedic multiplier design can increase MAC unit design speed and reduce the Area. Thus 64 bit Modified Wallace Tree Multiplier is constructed and the total number of stages in the second phase is ten. As per the equation the number of row in each of the ten stages was calculated and the use of half adders was restricted only to the Tenth stage. The total number of half adders used in the second phase is eight and the total number of full adders that was used during the second phase is slightly increased that in the Conventional Wallace Tree Multiplier. Since, the 64 bit Modified Wallace Tree Multiplier Representation is very difficult, A Typical 10 bit by 10 bit in the Modified Wallace Tree shows better Performance when CSA is used in final stage instead of Ripple Carry Adder (RCA). The Carry Save Adder (CSA) is a type of Digital Adder, used to compute the sum of three or more number of bits in binary form. CSA gives less propagation delay and the glitching problem in RCA is also avoided. Since, the Representation of 128 bit CSA is very difficult. Fig.2 CARRY SAVE ADDER Here, we compute the sum of two 128 bit binary numbers so 128 half adders at the first stage is required instead of 128 full adders. Since, we add bits of two binary numbers only. Each black cell consists of two AND gates and one OR gate. Multiplexer is combinational circuit which consists of multiple inputs and a single output. Each gray cell consists of only one AND gate. Pi denotes propagate and it consists of only one AND gate [5] given in equation 2. Gi denotes generate and it consists of one AND gate and OR gate [6] given in equation 3. -------------- (2) -- (3) III. RESULT The design is developed using VHDL, and the previous work 64 bit MAC unit is designed using
  • 3. M Shabber Hasan Khan Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 2) August 2015, pp.55-57 www.ijera.com 57 | P a g e Modified Wallace multiplier. The LUT’s, Slices and IOB’s comparative table are shown in the table1. Simulation Waveform of 64 bit MAC unit are shown in Fig. 3 Fig.3 Output Waveform Table. 1 LUT’s, Slices and IOB’s IV. CONCLUSION This paper presents a highly efficient optimized of MAC unit is implemented using Vedic multiplier and the adder is done with ripple carry adder. The components are reduced by implementing Vedic multiplier using the techniques of “urdhva tiryakbhyam sutra” based on Vedic mathematics is modified to improve performance. a high speed processor depends significantly on the multiplier as it is one of the key hardware blocks in most digital signal processing systems as well as in general processors. The area is optimized effectively using Vedic multiplier .it is observed that the Vedic multiplier is much more efficient than Wallace multiplier in terms of area. An awareness of Vedic mathematics can be effectively improved if it is integrated in engineering education. REFERENCES [1] P.jagadeesh“Design ofHigh Performance 64 bit MAC Unit” 2013 International Conference on Circuits, Power and Computing Technologies [ICCPCT-2013]. [2] W.J. Townsend, E.E. Swartzlander Jr., and J.A. Abraham, “A Comparison of Dadda and Wallace Multiplier Delays, ”Proc. SPIE, Advanced Signal Processing Algorithms, Architectures, and Implementations XIII, pp. 552-560, 2003. [3] M.H. Rais, M.H. Al Mijalli, “Braun’s multipliers: Spartan-3AN based design and implementation”, J. Comput. Sci., vo. 7, no. (11), pp.1629-1632, 2011. [4] L. Dadda, “Some Schemes for Parallel Multipliers,” Alta Frequenza,vol. 34, pp. 349-356, 1965. [5] C.S. Wallace, “A Suggestion for a Fast Multiplier,” IEEE Trans.Electronic Computers, vol. 13, no. 1, pp. 14-17, Feb. 1964. [6] Ron S. Waters and Earl E. Swartzlander, Jr., "A Reduced Complexity Wallace Multiplier Reduction," IEEE Transactions on Computers, vol. 59, no. 8, Aug 20 10. [7] Rais, M.H., 2009a. FPGA design and implementation of fixed width standard and truncated 6×6-bitmultipliers: A comparative study. Proceedings of the 4th IEEE International Design and TestWorkshop, Nov. 15-17, IEEE Xplore Press,Riyadh, Saudi Arabia,pp:14.DOI:10.1109/IDT.2009. 5404081 [8] Rais, M.H., 2010a. Hardware implementation of truncated multipliers using Spartan 3AN, Virtex-4and Virtex-5 devices. Am. J. Eng. Applied Sci., 3:201- 206. DOI: 10.3844/ajeassp.2010.201.206. [9] Pushpalata Verma, K. K. Mehta, “ Implementation of an Efficient Multiplier based on Vedic Mathematics Using EDA Tool,” International Journal of Engineering and Advanced Technology (IJEAT), ISSN: 2249 – 8958, Volume-1, Issue-5, June 2012. [10] Manoranjan Pradhan, Rutuparna Panda, Sushanta Kumar Sahu, “Speed Comparison of 16x16 Vedic Multipliers,” International Journal of Computer Applications (0975 – 8887),Volume 21– No.6, May 2011. [11] Naveen K Gahlan, Prabhat, Jasbir Kaur “Implementation of WallaceTree Multiplier Using Compressor” International Journal of Computer & Technology. [12] W.J. Townsend, E.E. Swartzlander Jr., and J.A. Abraham, “A Comparison of Dadda and Wallace Multiplier Delays,”Proc.- SPIE, Advanced Signal Processing Algorithms, Architectures ,and Implementations XIII, pp. 552-560, 2003.