SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 338
DESIGN AND VERIFICATION ENVIRONMENT FOR AMBA AXI
PROTOCOL FOR SOC INTEGRATION
Pradeep S R1
, Laxmi C2
1
M.Tech Student, Department of P.G Studies, VTU Gulbarga, Karnataka, India
2
Guest Lecturer, Department of P.G Studies, VTU Gulbarga, Karnataka, India
Abstract
Advanced microcontroller bus architecture (AMBA) protocol family provides a metric-driven verification of protocol compliance,
enabling the comprehensive testing of interface intellectual property (IP) blocks and system-on-chip (SoC) design. The AMBA
advanced extensible interface 4 (AXI4) update to AMBA AXI3 includes: the support for burst lengths up to 256 beats. It is updated
write response requirements and removal of locked transactions. Verification has become the dominant cost in the design process.
This paper proposes a work, how to build up the verification environment of AXI bus using SystemVerilog is introduced. Functional
coverage, score-boarding and assertions is implemented with the proposed integrated verification environment.
Keywords: AMBA, AXI, Verification, System Verilog etc…
-----------------------------------------------------------------------***-----------------------------------------------------------------------
1. INTRODUCTION
There are many companies that develop core IP for SoC
products. The interfaces to these cores can differ from
company to company and may generally be proprietary in
nature. The SoC developer then should expend time, effort,
and cost to make “bridge” or “glue” logic that enables all of
the cores within the SoC to communicate properly with each
other. Incompatible interfaces are therefore barriers to each IP
developers and SoC developers.
Integrated circuits have entered the era of System-on-a-Chip
(SoC), which refers to integrating all components of a
computer or other electronic system into a single chip. It
contains digital, analog, mixed signal, and often radio-
frequency functions – all on a single chip substrate. By
increasing the design size, IP is an inevitable alternative for
SoC design. And therefore the widespread use of all kinds of
IPs has changed the nature of the design flow, making On-
Chip Buses (OCB) essential to the design.
To speed up SoC integration and promote IP reusability, many
bus-based communication architecture standards have
emerged over the past several years. Since the first 1990s,
many onchip bus-based communication architecture standards
are projected to handle the communication needs of emerging
SoC design. Some of the popular standards include ARM
Microcontroller Bus Architecture (AMBA) versions of 2.0 and
3.0, IBM Core Connect, STMicroelectronics STBus, Sonics
SMARRT Interconnect, Open Cores Wishbone, and Altera
Avalon [2]-[6]. On the other side, the designers simply
integrate their owned IPs with third party IPs into the SoC to
significantly reduce design cycles. However, the main issue is
that a way to efficiently ensure the IP functionality, that works
properly after integrating to the corresponding bus
architecture.
The AMBA AXI protocol is a standard bus protocol and most
of the semiconductor companies‟ design interconnects which
supports AXI bus interface. AXI protocol is complex protocol
because of its ultra-high-performance. On current projects,
verification engineers are maximum number designers, with
this ratio reaching 2 or 3 to one for the most complex designs.
Therefore an efficient verification environment is needed [9].
Verification of such a complex protocol is challenging. This
can be easily verified using the verification environment. This
verification environment can be reused for other IPs also.
1.1 AMBA AXI4 Architecture
The AMBA AXI protocol is aimed towards high-frequency
system designs and includes a number of features that make it
suitable for a high - speed submicrons interconnect. In this
project proposes a feature that supports a maximum of 256
data transfers per burst [3]. In AMBA AXI4 system 16
masters and 16 slaves are interfaced. Every master and slave
has their own 4 bit ID tags. The system consists of master,
slave and Interconnect bus [4]. The AXI4 protocol supports
the following mechanisms:
•Two kinds of address mode: aligned and unaligned.
•Three types of burst: FIXED, INCR and WRAP.
•Sixteen choices of burst length in the range of 1-256.
•Four varieties of response types: OKAY, EXOKAY,
SLVERR and DECERR.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 339
Figure 1gives the information of signals used in the complete
design of the protocol [3]. Each transaction is burst-based
which has address and control information on the address
channel that describes the nature of the data to be transferred.
The information is transferred between master and slave using
a write data channel to the slave or a read data channel to the
master [8].
Signal Source
Input/
Output
Description
Aclk Global Input Global Clock Signal
Aresetn Global Input Global Reset Signal
AWID[3:0] Master Input Write address ID
AWADDR[31:0] Master Input Write address
AWLEN[3:0] Master Input Write burst length
AWSIZE[2:0] Master Input Write burst size
AWBURST[1:0] Master Input Write burst type
AWLOCK[1:0] Master Input Write lock type
AWCACHE[1:0] Master Input Write cache type
AWPROT[2:0] Master Input Write protection
WDATA[31:0] Master Input Write data
ARID[3:0] Master Input Read address ID
ARADDR[31:0] Master Input Read address
ARLEN[3:0] Master Input Read burst length
ARSIZE[2:0] Master Input Read burst size
ARLOCK[1:0] Master Input Read lock type
ARCACHE[3:0] Master Input Read cache type
ARPROT[2:0] Master Input Read protection
RDATA[31:0] Master Input Read data
WLAST Master Input Write last
RLAST Slave Output Read last
AWVALID Master Output Write address valid
AWREADY Slave Output Write address ready
WVALID Master Output Write valid
RVALID Slave Output Read valid
WREADY Slave Output Write ready
BID[3:0] Slave Output Write response ID
RID[3:0] Slave Output Read response ID
BRESP[1:0] Slave Output Write response
RRESP[1:0] Slave Output Read response
BVALID Slave Output
Write Response
valid
BREADY Master Output Response Ready
RVALID Slave Output Read valid
Fig -1: Signal descriptions of AMBA AXI Protocol
2. RELATED WORK
The Advanced Microcontroller Bus Architecture (AMBA) is a
protocol that is used as an open standard; on-chip
interconnects specification for the connection and
management of functional blocks in a system-on-chip (SoC).
The AMBA bus is applied easily to small scale SoCs.
Therefore, the AMBA bus has been the representative of the
SOC market though the bus efficiency.
Three distinct buses are defined within the AMBA
specification:
1. Advanced Peripheral Bus (APB).
2. Advanced High performance Bus (AHB).
3. Advanced extensible Interface Bus (AXI).
The AMBA specification defines all the signals, transfer
modes, structural configuration, and other bus protocol details
for the APB, AHB, and AXI buses.
The AMBA APB is used for interface to any peripherals
which are low bandwidth and do not require the high
performance of a pipelined bus interface. APB peripherals can
be integrated easily into any design flow, with the following
specification:
• Peripheral bus for low-speed devices
• Synchronous, non multiplexed bus
• Single master (bridge)
• 8, 16, 32-bit data bus
• 32-bit address bus
• Non-pipelined
AMBA AHB is a new level of bus which sits above the APB
and implements the features required for high performance,
high clock frequency systems, with the following
specification:
• Burst transfers
• Split transactions
• Single cycle bus master handover
• Single clock edge operation
• Wider data bus configurations (64/128 bits)
AXI extends the AHB bus with advanced features to support
the next generation of high performance SoC designs. The
goals of the AXI bus protocol include supporting high
frequency operation without using complex bridges, flexibility
in meeting the interface, and performance requirements of a
diverse set of components, and backward compatibility with
AMBA AHB and APB interfaces. The features of the AXI
protocol are:
• Separate address/control and data phases
• Support for unaligned data transfers
• Ability to issue multiple outstanding addresses
• Out-of-order transaction completion.
3. PROPOSED WORK
The work is proposed in this project is the achievement of
communication between one master and one slave using
Verilog, then verifying the design using System Verilog.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 340
3.1 Design of AXI Protocol
AMBA AXI4 slave is designed with operating frequency of
100MHz, which gives each clock cycle of duration 10ns and it
supports a maximum of 256 data transfers per burst. The
AMBA AXI4 system component consists of a master and a
slave as shown in Figure 2.
There are 5 different channels between the AXI master and
AXI slave namely write address channel, write data channel,
read data channel, read address channel, and write response
channel.
Fig -2: Block Diagram of a system
In AXI protocol, every transfer is done using hand shake
mechanism. Each channel uses the same VALID/READY
handshake to transfer control and data information. This two-
way flow control mechanism enables both the master and
slave to control the rate at which the data and control
information moves. The source generates the VALID signal to
indicate when the data or control information is available. The
destination generates the READY signal to indicate that it
accepts the data or control information. Transfer occurs only
when both the VALID and READY signals are HIGH. There
must be no combinatorial paths between input and output
signals on both master and slave interfaces.
3.1.1 Address Write Channel (AW Channel)
AXI_MASTER drives the write command signals only when
ARESETn is HIGH, else it drives all signals as zero. The
address write command signals driven by the AXI_MASTER
are - AWID,AWADDR, AWBURST, AWLEN, AWSIZE,
AWCACHE, AWLOCK, AWPROT, with AWVALID as
HIGH indicating that the driven signals are valid. The
AXI_MASTER does not drive the AWVALID signal as
LOW, until it receives the AWREADY signal, which is driven
by the DESTINATION_SLAVE, indicating that, it has
received the address write command signals. If AWREADY is
LOW, then AXI_MASTER retains the same values. Figure 3
shows the state diagram for the address write command
signals.
Fig -3: State diagram of Address Write Channel
3.1.2 Write Data Channel (W Channel)
The AXI MASTER drives these Write Data signals, after
sending the write address command signals. It drives these
signals, only when ARESETn is HIGH, otherwise it drives all
signals to zero. AXI MASTER drives the WDATA signal with
WVALID as HIGH, it holds the same value until it receives
the WREADY signal. If WREADY is HIGH, it drives the next
WDATA. AXI MASTER drives the AWLEN No. of data.
While driving the last data it drives the WLAST as HIGH.
Figure 4 shows the state diagram for the WRITE DATA
channels.
Fig -4: State diagram of Write Data Channel
3.1.3 Write Response Channel (B Channel)
The DESTINATION_SLAVE drives these Write Response
signals, only when ARESETn is HIGH, otherwise it drives all
signals as zero. DESTINATION_SLAVE waits for WLAST
signal. After receiving the WLAST signal, it drives these
response signals, with BVALID as HIGH. It holds the same
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 341
value until it receives the BREADY signal from the AXI
MASTER. If BREADY is HIGH, it drives all the signals as
zero, at next positive edge of ACLK, otherwise it retains the
same value. Figure 5 shows the state diagram for the Write
Response channels.
Fig -5: State diagram of Write Response Channel
3.1.4 Address Read Channel (AR Channel)
AXI_MASTER drives the command signals only when
ARESETn is HIGH, else it drives all signals as zero. The
address read command signals driven by the AXI_MASTER
are - ARID, ARADDR, ARBURST, ARLEN, ARSIZE,
ARCACHE, ARLOCK, ARPROT, with ARVALID as HIGH
indicating that the driven signals are valid. The
AXI_MASTER does not drive the ARVALID signal as LOW,
until it receives the ARREADY signal, which is driven by the
SOURCE_SLAVE, indicating that, it has received the address
read command signals. If ARREADY is LOW, then
AXI_MASTER retains the same values. Figure 6 shows the
state diagram for the address read command signals.
Fig -6: State diagram of Address Read Channel
3.1.5 Read Data Channel (R Channel)
The SOURCE_SLAVE drives these Read Data signals after
receiving the read command signals. It drives these signals,
only when ARESETn is HIGH, otherwise it drives all signals
as zero. SOURCE_SLAVE drives the RDATA signal with
RVALID as HIGH, it holds the same value until it receives the
RREADY signal. If RREADY is HIGH, it drives the next
RDATA. SOURCE_SLAVE drives the ARLEN No. of data.
While driving the last data it drives the RLAST as HIGH.
Figure 7 shows the state diagram for the read data signals.
Fig -7: State diagram of Read Data Channel
3.2 Verification Environment of AXI Protocol
The verification environment for AXI bus is developed with
SystemVerilog, this verification environment is shown in
below Figure 8. This environment is organized in a
hierarchical layered structure which helps to maintain and
reuse it with different designs under verification.
Fig -8: The Testbench Architecture
The main aim is to verify the design “AXI”, by applying
different inputs.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 342
3.2.1 Test Case
The „Test case‟ includes the list of test cases. Each test case is
connected to the “sequences” which written for the different
scenarios like, single_write_operation, single_read_operation,
write_followed_read_operation, multiple_write_single_read,
single_wite_multiple_read, etc., Any one of the test case is
connected to the Verification Environment to verify the design
for a particular scenario.
3.2.2 AXI_Transaction_Generator
Transaction generator is also known as the “sequence item”.
Sequence_item is a class which includes all the port signals as
its property. All these signals are declared using a “rand”
keyword, so that after calling the randomize function this class
should assign the random value to the each signal. This
generated input values are assigned later to the DUV.
3.2.3 AXI_Master_Transaction
It includes the signals which are driven from the master. This
class has the instance of the AXI_transaction_generator. The
master transaction can override the values that are generated in
the AXI_transaction_generator. Suppose we have not over
ridden any signals, then the values that are generated in the
AXI_transaction_generator are passed to the DUV.
3.2.4 AXI_Slave_Transaction
It includes the functionality similar to
AXI_Master_Transaction, except it includes the signals which
are driven from the slave.
3.2.5 AXI_Scoreboard
The values generated in the AXI_Master_Transaction and
AXI_Slave_Transaction are also stored in the
AXI_scoreboard. Later we can use these signals for the
comparison of expected output and the actual output.
3.2.6 Functional Coverage
This class includes the list different coverage scenarios, which
checks for the how much part of the design is covered during
verification. AXI_Master_Transaction and
AXI_Slave_Transaction classes will invoke this functional
coverage.
3.2.7 AXI_Master
This is the main block of master part, it includes the two sub-
blocks Write/read/get data and AXI master BFM.
Write/read/get data: This sub-block includes the objects of
classes‟ sequencer, driver, and monitor. Sequencer picks the
assigned sequence and drops it into the driver. It drives these
signals according to the protocol. Monitor monitors whether
signals are changing according to protocol or not
AXI master BFM: This is the class which includes the
functions related to the buses. BFM stands for Bus Function
Modules. Finally the signals driven from the driver are passed
to the DUV.
AXI_Slave has the functionality similar to AXI_Master.
3.2.8 AXI_Assertions
It includes the list of assertions which are written according to
the signal description. These are written using assert
statements. These assertions are applied to the signals that are
driving from the driver before applying to the DUV.
3.3 System Verilog
It is the Hardware Verification Language (HVL). This
language is mainly used for the verification purpose. Initially,
test bench (TB) is written in Verilog language using tasks and
functions [11]. But it was a very lengthy process. It overcomes
this lengthy process. System Verilog is the updated version of
Verilog, it also supports the features like OOPs concept,
Randomization and constrained randomization, etc., by the
help of these features we can easily generate all the possible
combinations of inputs, and thereby we can successively
verify the Design.
4. CONCLUSIONS
AMBA AXI4 is a plug and play IP protocol. It is released by
ARM, defines both bus specification and a technology
independent methodology for designing, implementing and
testing customized high-integration embedded interfaces. The
data is to be read or written to the slave is assumed to be given
by the master and is read or written to a particular address
location of slave. In this paper, an effective verification
environment can simulate most cases of the AXI signal, check
all the transmitted data automatically and complete coverage
analysis during the simulation. So the environment can
improve the coverage and reduce the time spending in the
verification.
FUTURE SCOPE
The AMBA AXI has limitations with reference to the burst
and beats information to be transferred. The burst data must
not cross the 4k boundary. Bursts longer than sixteen beats are
only supported for the INCR burst type. The WRAP and
FIXED burst types remain constrained to a maximum burst
length of 16 beats. These are the measures of AMBA AXI
system which need to be overcome.
ACKNOWLEDGEMENTS
I express my sincere gratitude to Dr. Udaykumar G. Khadke,
Special Officer, Department of PG Studies, VTU, Gulbarga, I
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 343
also thanks to Ms. Laxmi C for continuous guidance and other
Professors of Department of VLSI Design and Embedded
Systems, VTU, Gulbarga for extending their help & support in
giving technical ideas about the paper without which I would
not come up with this paper, I also thanks to all teaching, non
teaching staff of Department of PG Studies, VTU, Gulbarga.
REFERENCES
[1]. Ms. Anusha Ranga, Mr. L. Hari Venkatesh,
Mr.Venkanna, “Design and Implementation of AMBA-AXI
Protocol using VHDL for SoC Integration,” in International
Journal of Engineering Research and Applications, Vol. 2,
Issue4, July-August 2012, pp.1102-1106.
[2]. Ref Shaila S Math, Manjula R B “Survey of system on
chip buses based on industry standards,” Conference on
Evolutionary Trends in Information Technology(CETIT),
Belgaum, Karnataka, India, pp. 52, May 2011.
[3]. AMBA AXI Protocol Version: 2.0 Specification, ARM
Ltd, pp. 1-1.
[4]. ARM, AMBA AXI protocol specifications, Available at,
http://www.arm.com, 2003.
[5]. Silicore Corporation, Wishbone system-on-chip (soc)
interconnection Architecture for portable IP cores.
[6]. IBM, Core connect bus architecture. IBM
Microelectronics[Online].Available:
http://www.ibm.com/chips/products/coreconnect, 2000.
[7]. M SivaPrasad Reddy, B. Babu Rajesh, Tvs Gowtham
Prasad, “A Synthesizable Design of AMBA-AXI Protocol for
SoC Integration,” in International Journal of Engineering
Inventions Volume 1, Issue 3 (September2012) PP: 19-26.
[8]. V.N.M.Brahmanandam K, Choragudi Monohar, “Design
of Burst Based Transactions in AMBA-AXI Protocol for SoC
Integration,” International Journal of Scientific & Engineering
Integration International Journal of Scientific & Engineering
Research Volume 3, Issue 7, July-2012.
[9]. L. Tao, X. Tong, Z. Yang, L. Huawei, and L.
Xiaowei,”Bug analysis and corresponding error models in real
designs”, in IEEE International High Level Design Validation
and Test Workshop,2007,pp. 59-64.
[10]. Samir Palnitkar, Verilog HDL: A Guide to Digital
Design and synthesis, 2nd ed, Hall PTR Pub, 2003.
[11]. C. Spear,” A Guide to Learning the Testbench Language
Features”,in System Verilog for verification, 2nd ed., Springer
Publishing Company, Incorporated,2008,pp. 11-18.

Contenu connexe

Tendances

Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015kiemnhatminh
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...eSAT Journals
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesNirav Desai
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB ProtocolSwetha GSM
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertionsHARINATH REDDY
 
Design of open core protocol ocp
Design of open core protocol ocpDesign of open core protocol ocp
Design of open core protocol ocpZubed Uddin
 
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)gnkeshava
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coveragePushpa Yakkala
 

Tendances (20)

Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
Apb
ApbApb
Apb
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
PCIe
PCIePCIe
PCIe
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
Axi
AxiAxi
Axi
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
Design of open core protocol ocp
Design of open core protocol ocpDesign of open core protocol ocp
Design of open core protocol ocp
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
system verilog
system verilogsystem verilog
system verilog
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 

En vedette

A comprehensive review on performance of aodv and dsdv protocol using manhatt...
A comprehensive review on performance of aodv and dsdv protocol using manhatt...A comprehensive review on performance of aodv and dsdv protocol using manhatt...
A comprehensive review on performance of aodv and dsdv protocol using manhatt...eSAT Publishing House
 
Surface morphology of mg f2yf3 multi layer thin films
Surface morphology of mg f2yf3 multi layer thin filmsSurface morphology of mg f2yf3 multi layer thin films
Surface morphology of mg f2yf3 multi layer thin filmseSAT Publishing House
 
Hardback solution to accelerate multimedia computation through mgp in cmp
Hardback solution to accelerate multimedia computation through mgp in cmpHardback solution to accelerate multimedia computation through mgp in cmp
Hardback solution to accelerate multimedia computation through mgp in cmpeSAT Publishing House
 
Operating and emission characterstics of a novel
Operating and emission characterstics of a novelOperating and emission characterstics of a novel
Operating and emission characterstics of a noveleSAT Publishing House
 
The efficiency of the inference system knowledge
The efficiency of the inference system knowledgeThe efficiency of the inference system knowledge
The efficiency of the inference system knowledgeeSAT Publishing House
 
Extreme software estimation (xsoft estimation)
Extreme software estimation (xsoft estimation)Extreme software estimation (xsoft estimation)
Extreme software estimation (xsoft estimation)eSAT Publishing House
 
Secure data dissemination protocol in wireless sensor networks using xor netw...
Secure data dissemination protocol in wireless sensor networks using xor netw...Secure data dissemination protocol in wireless sensor networks using xor netw...
Secure data dissemination protocol in wireless sensor networks using xor netw...eSAT Publishing House
 
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...Behaviour of bituminous concrete modified with polyethylene glycol for blade ...
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...eSAT Publishing House
 
Mhd effects on non newtonian micro polar fluid with
Mhd effects on non newtonian micro polar fluid withMhd effects on non newtonian micro polar fluid with
Mhd effects on non newtonian micro polar fluid witheSAT Publishing House
 
Computer aided diagnosis for liver cancer using
Computer aided diagnosis for liver cancer usingComputer aided diagnosis for liver cancer using
Computer aided diagnosis for liver cancer usingeSAT Publishing House
 
Analysis of selection schemes for solving job shop
Analysis of selection schemes for solving job shopAnalysis of selection schemes for solving job shop
Analysis of selection schemes for solving job shopeSAT Publishing House
 
A detection technique of signal in mimo system
A detection technique of signal in mimo systemA detection technique of signal in mimo system
A detection technique of signal in mimo systemeSAT Publishing House
 
Adapting singlet login in distributed systems
Adapting singlet login in distributed systemsAdapting singlet login in distributed systems
Adapting singlet login in distributed systemseSAT Publishing House
 
Non invasive modalities of neurocognitive science
Non invasive modalities of neurocognitive scienceNon invasive modalities of neurocognitive science
Non invasive modalities of neurocognitive scienceeSAT Publishing House
 
A remote monitoring system for a three phase 10-kva switchable distribution t...
A remote monitoring system for a three phase 10-kva switchable distribution t...A remote monitoring system for a three phase 10-kva switchable distribution t...
A remote monitoring system for a three phase 10-kva switchable distribution t...eSAT Publishing House
 
Impact assessment of factors affecting information technology projects in riv...
Impact assessment of factors affecting information technology projects in riv...Impact assessment of factors affecting information technology projects in riv...
Impact assessment of factors affecting information technology projects in riv...eSAT Publishing House
 
Co axial fed microstrip rectangular patch antenna
Co axial fed microstrip rectangular patch antennaCo axial fed microstrip rectangular patch antenna
Co axial fed microstrip rectangular patch antennaeSAT Publishing House
 
Performance of high power light emitting diode for
Performance of high power light emitting diode forPerformance of high power light emitting diode for
Performance of high power light emitting diode foreSAT Publishing House
 
An understanding of graphical perception
An understanding of graphical perceptionAn understanding of graphical perception
An understanding of graphical perceptioneSAT Publishing House
 
Design and development of fall detector using fall
Design and development of fall detector using fallDesign and development of fall detector using fall
Design and development of fall detector using falleSAT Publishing House
 

En vedette (20)

A comprehensive review on performance of aodv and dsdv protocol using manhatt...
A comprehensive review on performance of aodv and dsdv protocol using manhatt...A comprehensive review on performance of aodv and dsdv protocol using manhatt...
A comprehensive review on performance of aodv and dsdv protocol using manhatt...
 
Surface morphology of mg f2yf3 multi layer thin films
Surface morphology of mg f2yf3 multi layer thin filmsSurface morphology of mg f2yf3 multi layer thin films
Surface morphology of mg f2yf3 multi layer thin films
 
Hardback solution to accelerate multimedia computation through mgp in cmp
Hardback solution to accelerate multimedia computation through mgp in cmpHardback solution to accelerate multimedia computation through mgp in cmp
Hardback solution to accelerate multimedia computation through mgp in cmp
 
Operating and emission characterstics of a novel
Operating and emission characterstics of a novelOperating and emission characterstics of a novel
Operating and emission characterstics of a novel
 
The efficiency of the inference system knowledge
The efficiency of the inference system knowledgeThe efficiency of the inference system knowledge
The efficiency of the inference system knowledge
 
Extreme software estimation (xsoft estimation)
Extreme software estimation (xsoft estimation)Extreme software estimation (xsoft estimation)
Extreme software estimation (xsoft estimation)
 
Secure data dissemination protocol in wireless sensor networks using xor netw...
Secure data dissemination protocol in wireless sensor networks using xor netw...Secure data dissemination protocol in wireless sensor networks using xor netw...
Secure data dissemination protocol in wireless sensor networks using xor netw...
 
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...Behaviour of bituminous concrete modified with polyethylene glycol for blade ...
Behaviour of bituminous concrete modified with polyethylene glycol for blade ...
 
Mhd effects on non newtonian micro polar fluid with
Mhd effects on non newtonian micro polar fluid withMhd effects on non newtonian micro polar fluid with
Mhd effects on non newtonian micro polar fluid with
 
Computer aided diagnosis for liver cancer using
Computer aided diagnosis for liver cancer usingComputer aided diagnosis for liver cancer using
Computer aided diagnosis for liver cancer using
 
Analysis of selection schemes for solving job shop
Analysis of selection schemes for solving job shopAnalysis of selection schemes for solving job shop
Analysis of selection schemes for solving job shop
 
A detection technique of signal in mimo system
A detection technique of signal in mimo systemA detection technique of signal in mimo system
A detection technique of signal in mimo system
 
Adapting singlet login in distributed systems
Adapting singlet login in distributed systemsAdapting singlet login in distributed systems
Adapting singlet login in distributed systems
 
Non invasive modalities of neurocognitive science
Non invasive modalities of neurocognitive scienceNon invasive modalities of neurocognitive science
Non invasive modalities of neurocognitive science
 
A remote monitoring system for a three phase 10-kva switchable distribution t...
A remote monitoring system for a three phase 10-kva switchable distribution t...A remote monitoring system for a three phase 10-kva switchable distribution t...
A remote monitoring system for a three phase 10-kva switchable distribution t...
 
Impact assessment of factors affecting information technology projects in riv...
Impact assessment of factors affecting information technology projects in riv...Impact assessment of factors affecting information technology projects in riv...
Impact assessment of factors affecting information technology projects in riv...
 
Co axial fed microstrip rectangular patch antenna
Co axial fed microstrip rectangular patch antennaCo axial fed microstrip rectangular patch antenna
Co axial fed microstrip rectangular patch antenna
 
Performance of high power light emitting diode for
Performance of high power light emitting diode forPerformance of high power light emitting diode for
Performance of high power light emitting diode for
 
An understanding of graphical perception
An understanding of graphical perceptionAn understanding of graphical perception
An understanding of graphical perception
 
Design and development of fall detector using fall
Design and development of fall detector using fallDesign and development of fall detector using fall
Design and development of fall detector using fall
 

Similaire à Design and verification environment for amba axi protocol for soc integration

A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixIRJET Journal
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET Journal
 
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyA Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyTodd Turner
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systemsidescitation
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVLSICS Design
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET Journal
 
IRJET- Performance Verification of Amba Multi-Master AHB Bus using System...
IRJET-  	  Performance Verification of Amba Multi-Master AHB Bus using System...IRJET-  	  Performance Verification of Amba Multi-Master AHB Bus using System...
IRJET- Performance Verification of Amba Multi-Master AHB Bus using System...IRJET Journal
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogIRJET Journal
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...IOSRJVSP
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd Iaetsd
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processorsaciijournal
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processorsaciijournal
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processorsaciijournal
 
Design of a 5 port router for noc using verilog
Design of a 5 port router for noc using verilogDesign of a 5 port router for noc using verilog
Design of a 5 port router for noc using verilogeSAT Publishing House
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeManu BN
 

Similaire à Design and verification environment for amba axi protocol for soc integration (20)

A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
 
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyA Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
 
call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
 
Gc2411021106
Gc2411021106Gc2411021106
Gc2411021106
 
IRJET- Performance Verification of Amba Multi-Master AHB Bus using System...
IRJET-  	  Performance Verification of Amba Multi-Master AHB Bus using System...IRJET-  	  Performance Verification of Amba Multi-Master AHB Bus using System...
IRJET- Performance Verification of Amba Multi-Master AHB Bus using System...
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in Verilog
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
 
Ek31903907
Ek31903907Ek31903907
Ek31903907
 
Power Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on ChipPower Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on Chip
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifo
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
A Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network ProcessorsA Comparison of Four Series of CISCO Network Processors
A Comparison of Four Series of CISCO Network Processors
 
Design of a 5 port router for noc using verilog
Design of a 5 port router for noc using verilogDesign of a 5 port router for noc using verilog
Design of a 5 port router for noc using verilog
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 

Plus de eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

Plus de eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Dernier

Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Standamitlee9823
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayEpec Engineered Technologies
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...tanu pandey
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086anil_gaur
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 

Dernier (20)

Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 

Design and verification environment for amba axi protocol for soc integration

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 338 DESIGN AND VERIFICATION ENVIRONMENT FOR AMBA AXI PROTOCOL FOR SOC INTEGRATION Pradeep S R1 , Laxmi C2 1 M.Tech Student, Department of P.G Studies, VTU Gulbarga, Karnataka, India 2 Guest Lecturer, Department of P.G Studies, VTU Gulbarga, Karnataka, India Abstract Advanced microcontroller bus architecture (AMBA) protocol family provides a metric-driven verification of protocol compliance, enabling the comprehensive testing of interface intellectual property (IP) blocks and system-on-chip (SoC) design. The AMBA advanced extensible interface 4 (AXI4) update to AMBA AXI3 includes: the support for burst lengths up to 256 beats. It is updated write response requirements and removal of locked transactions. Verification has become the dominant cost in the design process. This paper proposes a work, how to build up the verification environment of AXI bus using SystemVerilog is introduced. Functional coverage, score-boarding and assertions is implemented with the proposed integrated verification environment. Keywords: AMBA, AXI, Verification, System Verilog etc… -----------------------------------------------------------------------***----------------------------------------------------------------------- 1. INTRODUCTION There are many companies that develop core IP for SoC products. The interfaces to these cores can differ from company to company and may generally be proprietary in nature. The SoC developer then should expend time, effort, and cost to make “bridge” or “glue” logic that enables all of the cores within the SoC to communicate properly with each other. Incompatible interfaces are therefore barriers to each IP developers and SoC developers. Integrated circuits have entered the era of System-on-a-Chip (SoC), which refers to integrating all components of a computer or other electronic system into a single chip. It contains digital, analog, mixed signal, and often radio- frequency functions – all on a single chip substrate. By increasing the design size, IP is an inevitable alternative for SoC design. And therefore the widespread use of all kinds of IPs has changed the nature of the design flow, making On- Chip Buses (OCB) essential to the design. To speed up SoC integration and promote IP reusability, many bus-based communication architecture standards have emerged over the past several years. Since the first 1990s, many onchip bus-based communication architecture standards are projected to handle the communication needs of emerging SoC design. Some of the popular standards include ARM Microcontroller Bus Architecture (AMBA) versions of 2.0 and 3.0, IBM Core Connect, STMicroelectronics STBus, Sonics SMARRT Interconnect, Open Cores Wishbone, and Altera Avalon [2]-[6]. On the other side, the designers simply integrate their owned IPs with third party IPs into the SoC to significantly reduce design cycles. However, the main issue is that a way to efficiently ensure the IP functionality, that works properly after integrating to the corresponding bus architecture. The AMBA AXI protocol is a standard bus protocol and most of the semiconductor companies‟ design interconnects which supports AXI bus interface. AXI protocol is complex protocol because of its ultra-high-performance. On current projects, verification engineers are maximum number designers, with this ratio reaching 2 or 3 to one for the most complex designs. Therefore an efficient verification environment is needed [9]. Verification of such a complex protocol is challenging. This can be easily verified using the verification environment. This verification environment can be reused for other IPs also. 1.1 AMBA AXI4 Architecture The AMBA AXI protocol is aimed towards high-frequency system designs and includes a number of features that make it suitable for a high - speed submicrons interconnect. In this project proposes a feature that supports a maximum of 256 data transfers per burst [3]. In AMBA AXI4 system 16 masters and 16 slaves are interfaced. Every master and slave has their own 4 bit ID tags. The system consists of master, slave and Interconnect bus [4]. The AXI4 protocol supports the following mechanisms: •Two kinds of address mode: aligned and unaligned. •Three types of burst: FIXED, INCR and WRAP. •Sixteen choices of burst length in the range of 1-256. •Four varieties of response types: OKAY, EXOKAY, SLVERR and DECERR.
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 339 Figure 1gives the information of signals used in the complete design of the protocol [3]. Each transaction is burst-based which has address and control information on the address channel that describes the nature of the data to be transferred. The information is transferred between master and slave using a write data channel to the slave or a read data channel to the master [8]. Signal Source Input/ Output Description Aclk Global Input Global Clock Signal Aresetn Global Input Global Reset Signal AWID[3:0] Master Input Write address ID AWADDR[31:0] Master Input Write address AWLEN[3:0] Master Input Write burst length AWSIZE[2:0] Master Input Write burst size AWBURST[1:0] Master Input Write burst type AWLOCK[1:0] Master Input Write lock type AWCACHE[1:0] Master Input Write cache type AWPROT[2:0] Master Input Write protection WDATA[31:0] Master Input Write data ARID[3:0] Master Input Read address ID ARADDR[31:0] Master Input Read address ARLEN[3:0] Master Input Read burst length ARSIZE[2:0] Master Input Read burst size ARLOCK[1:0] Master Input Read lock type ARCACHE[3:0] Master Input Read cache type ARPROT[2:0] Master Input Read protection RDATA[31:0] Master Input Read data WLAST Master Input Write last RLAST Slave Output Read last AWVALID Master Output Write address valid AWREADY Slave Output Write address ready WVALID Master Output Write valid RVALID Slave Output Read valid WREADY Slave Output Write ready BID[3:0] Slave Output Write response ID RID[3:0] Slave Output Read response ID BRESP[1:0] Slave Output Write response RRESP[1:0] Slave Output Read response BVALID Slave Output Write Response valid BREADY Master Output Response Ready RVALID Slave Output Read valid Fig -1: Signal descriptions of AMBA AXI Protocol 2. RELATED WORK The Advanced Microcontroller Bus Architecture (AMBA) is a protocol that is used as an open standard; on-chip interconnects specification for the connection and management of functional blocks in a system-on-chip (SoC). The AMBA bus is applied easily to small scale SoCs. Therefore, the AMBA bus has been the representative of the SOC market though the bus efficiency. Three distinct buses are defined within the AMBA specification: 1. Advanced Peripheral Bus (APB). 2. Advanced High performance Bus (AHB). 3. Advanced extensible Interface Bus (AXI). The AMBA specification defines all the signals, transfer modes, structural configuration, and other bus protocol details for the APB, AHB, and AXI buses. The AMBA APB is used for interface to any peripherals which are low bandwidth and do not require the high performance of a pipelined bus interface. APB peripherals can be integrated easily into any design flow, with the following specification: • Peripheral bus for low-speed devices • Synchronous, non multiplexed bus • Single master (bridge) • 8, 16, 32-bit data bus • 32-bit address bus • Non-pipelined AMBA AHB is a new level of bus which sits above the APB and implements the features required for high performance, high clock frequency systems, with the following specification: • Burst transfers • Split transactions • Single cycle bus master handover • Single clock edge operation • Wider data bus configurations (64/128 bits) AXI extends the AHB bus with advanced features to support the next generation of high performance SoC designs. The goals of the AXI bus protocol include supporting high frequency operation without using complex bridges, flexibility in meeting the interface, and performance requirements of a diverse set of components, and backward compatibility with AMBA AHB and APB interfaces. The features of the AXI protocol are: • Separate address/control and data phases • Support for unaligned data transfers • Ability to issue multiple outstanding addresses • Out-of-order transaction completion. 3. PROPOSED WORK The work is proposed in this project is the achievement of communication between one master and one slave using Verilog, then verifying the design using System Verilog.
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 340 3.1 Design of AXI Protocol AMBA AXI4 slave is designed with operating frequency of 100MHz, which gives each clock cycle of duration 10ns and it supports a maximum of 256 data transfers per burst. The AMBA AXI4 system component consists of a master and a slave as shown in Figure 2. There are 5 different channels between the AXI master and AXI slave namely write address channel, write data channel, read data channel, read address channel, and write response channel. Fig -2: Block Diagram of a system In AXI protocol, every transfer is done using hand shake mechanism. Each channel uses the same VALID/READY handshake to transfer control and data information. This two- way flow control mechanism enables both the master and slave to control the rate at which the data and control information moves. The source generates the VALID signal to indicate when the data or control information is available. The destination generates the READY signal to indicate that it accepts the data or control information. Transfer occurs only when both the VALID and READY signals are HIGH. There must be no combinatorial paths between input and output signals on both master and slave interfaces. 3.1.1 Address Write Channel (AW Channel) AXI_MASTER drives the write command signals only when ARESETn is HIGH, else it drives all signals as zero. The address write command signals driven by the AXI_MASTER are - AWID,AWADDR, AWBURST, AWLEN, AWSIZE, AWCACHE, AWLOCK, AWPROT, with AWVALID as HIGH indicating that the driven signals are valid. The AXI_MASTER does not drive the AWVALID signal as LOW, until it receives the AWREADY signal, which is driven by the DESTINATION_SLAVE, indicating that, it has received the address write command signals. If AWREADY is LOW, then AXI_MASTER retains the same values. Figure 3 shows the state diagram for the address write command signals. Fig -3: State diagram of Address Write Channel 3.1.2 Write Data Channel (W Channel) The AXI MASTER drives these Write Data signals, after sending the write address command signals. It drives these signals, only when ARESETn is HIGH, otherwise it drives all signals to zero. AXI MASTER drives the WDATA signal with WVALID as HIGH, it holds the same value until it receives the WREADY signal. If WREADY is HIGH, it drives the next WDATA. AXI MASTER drives the AWLEN No. of data. While driving the last data it drives the WLAST as HIGH. Figure 4 shows the state diagram for the WRITE DATA channels. Fig -4: State diagram of Write Data Channel 3.1.3 Write Response Channel (B Channel) The DESTINATION_SLAVE drives these Write Response signals, only when ARESETn is HIGH, otherwise it drives all signals as zero. DESTINATION_SLAVE waits for WLAST signal. After receiving the WLAST signal, it drives these response signals, with BVALID as HIGH. It holds the same
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 341 value until it receives the BREADY signal from the AXI MASTER. If BREADY is HIGH, it drives all the signals as zero, at next positive edge of ACLK, otherwise it retains the same value. Figure 5 shows the state diagram for the Write Response channels. Fig -5: State diagram of Write Response Channel 3.1.4 Address Read Channel (AR Channel) AXI_MASTER drives the command signals only when ARESETn is HIGH, else it drives all signals as zero. The address read command signals driven by the AXI_MASTER are - ARID, ARADDR, ARBURST, ARLEN, ARSIZE, ARCACHE, ARLOCK, ARPROT, with ARVALID as HIGH indicating that the driven signals are valid. The AXI_MASTER does not drive the ARVALID signal as LOW, until it receives the ARREADY signal, which is driven by the SOURCE_SLAVE, indicating that, it has received the address read command signals. If ARREADY is LOW, then AXI_MASTER retains the same values. Figure 6 shows the state diagram for the address read command signals. Fig -6: State diagram of Address Read Channel 3.1.5 Read Data Channel (R Channel) The SOURCE_SLAVE drives these Read Data signals after receiving the read command signals. It drives these signals, only when ARESETn is HIGH, otherwise it drives all signals as zero. SOURCE_SLAVE drives the RDATA signal with RVALID as HIGH, it holds the same value until it receives the RREADY signal. If RREADY is HIGH, it drives the next RDATA. SOURCE_SLAVE drives the ARLEN No. of data. While driving the last data it drives the RLAST as HIGH. Figure 7 shows the state diagram for the read data signals. Fig -7: State diagram of Read Data Channel 3.2 Verification Environment of AXI Protocol The verification environment for AXI bus is developed with SystemVerilog, this verification environment is shown in below Figure 8. This environment is organized in a hierarchical layered structure which helps to maintain and reuse it with different designs under verification. Fig -8: The Testbench Architecture The main aim is to verify the design “AXI”, by applying different inputs.
  • 5. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 342 3.2.1 Test Case The „Test case‟ includes the list of test cases. Each test case is connected to the “sequences” which written for the different scenarios like, single_write_operation, single_read_operation, write_followed_read_operation, multiple_write_single_read, single_wite_multiple_read, etc., Any one of the test case is connected to the Verification Environment to verify the design for a particular scenario. 3.2.2 AXI_Transaction_Generator Transaction generator is also known as the “sequence item”. Sequence_item is a class which includes all the port signals as its property. All these signals are declared using a “rand” keyword, so that after calling the randomize function this class should assign the random value to the each signal. This generated input values are assigned later to the DUV. 3.2.3 AXI_Master_Transaction It includes the signals which are driven from the master. This class has the instance of the AXI_transaction_generator. The master transaction can override the values that are generated in the AXI_transaction_generator. Suppose we have not over ridden any signals, then the values that are generated in the AXI_transaction_generator are passed to the DUV. 3.2.4 AXI_Slave_Transaction It includes the functionality similar to AXI_Master_Transaction, except it includes the signals which are driven from the slave. 3.2.5 AXI_Scoreboard The values generated in the AXI_Master_Transaction and AXI_Slave_Transaction are also stored in the AXI_scoreboard. Later we can use these signals for the comparison of expected output and the actual output. 3.2.6 Functional Coverage This class includes the list different coverage scenarios, which checks for the how much part of the design is covered during verification. AXI_Master_Transaction and AXI_Slave_Transaction classes will invoke this functional coverage. 3.2.7 AXI_Master This is the main block of master part, it includes the two sub- blocks Write/read/get data and AXI master BFM. Write/read/get data: This sub-block includes the objects of classes‟ sequencer, driver, and monitor. Sequencer picks the assigned sequence and drops it into the driver. It drives these signals according to the protocol. Monitor monitors whether signals are changing according to protocol or not AXI master BFM: This is the class which includes the functions related to the buses. BFM stands for Bus Function Modules. Finally the signals driven from the driver are passed to the DUV. AXI_Slave has the functionality similar to AXI_Master. 3.2.8 AXI_Assertions It includes the list of assertions which are written according to the signal description. These are written using assert statements. These assertions are applied to the signals that are driving from the driver before applying to the DUV. 3.3 System Verilog It is the Hardware Verification Language (HVL). This language is mainly used for the verification purpose. Initially, test bench (TB) is written in Verilog language using tasks and functions [11]. But it was a very lengthy process. It overcomes this lengthy process. System Verilog is the updated version of Verilog, it also supports the features like OOPs concept, Randomization and constrained randomization, etc., by the help of these features we can easily generate all the possible combinations of inputs, and thereby we can successively verify the Design. 4. CONCLUSIONS AMBA AXI4 is a plug and play IP protocol. It is released by ARM, defines both bus specification and a technology independent methodology for designing, implementing and testing customized high-integration embedded interfaces. The data is to be read or written to the slave is assumed to be given by the master and is read or written to a particular address location of slave. In this paper, an effective verification environment can simulate most cases of the AXI signal, check all the transmitted data automatically and complete coverage analysis during the simulation. So the environment can improve the coverage and reduce the time spending in the verification. FUTURE SCOPE The AMBA AXI has limitations with reference to the burst and beats information to be transferred. The burst data must not cross the 4k boundary. Bursts longer than sixteen beats are only supported for the INCR burst type. The WRAP and FIXED burst types remain constrained to a maximum burst length of 16 beats. These are the measures of AMBA AXI system which need to be overcome. ACKNOWLEDGEMENTS I express my sincere gratitude to Dr. Udaykumar G. Khadke, Special Officer, Department of PG Studies, VTU, Gulbarga, I
  • 6. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 03 | May-2014 | NCRIET-2014, Available @ http://www.ijret.org 343 also thanks to Ms. Laxmi C for continuous guidance and other Professors of Department of VLSI Design and Embedded Systems, VTU, Gulbarga for extending their help & support in giving technical ideas about the paper without which I would not come up with this paper, I also thanks to all teaching, non teaching staff of Department of PG Studies, VTU, Gulbarga. REFERENCES [1]. Ms. Anusha Ranga, Mr. L. Hari Venkatesh, Mr.Venkanna, “Design and Implementation of AMBA-AXI Protocol using VHDL for SoC Integration,” in International Journal of Engineering Research and Applications, Vol. 2, Issue4, July-August 2012, pp.1102-1106. [2]. Ref Shaila S Math, Manjula R B “Survey of system on chip buses based on industry standards,” Conference on Evolutionary Trends in Information Technology(CETIT), Belgaum, Karnataka, India, pp. 52, May 2011. [3]. AMBA AXI Protocol Version: 2.0 Specification, ARM Ltd, pp. 1-1. [4]. ARM, AMBA AXI protocol specifications, Available at, http://www.arm.com, 2003. [5]. Silicore Corporation, Wishbone system-on-chip (soc) interconnection Architecture for portable IP cores. [6]. IBM, Core connect bus architecture. IBM Microelectronics[Online].Available: http://www.ibm.com/chips/products/coreconnect, 2000. [7]. M SivaPrasad Reddy, B. Babu Rajesh, Tvs Gowtham Prasad, “A Synthesizable Design of AMBA-AXI Protocol for SoC Integration,” in International Journal of Engineering Inventions Volume 1, Issue 3 (September2012) PP: 19-26. [8]. V.N.M.Brahmanandam K, Choragudi Monohar, “Design of Burst Based Transactions in AMBA-AXI Protocol for SoC Integration,” International Journal of Scientific & Engineering Integration International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012. [9]. L. Tao, X. Tong, Z. Yang, L. Huawei, and L. Xiaowei,”Bug analysis and corresponding error models in real designs”, in IEEE International High Level Design Validation and Test Workshop,2007,pp. 59-64. [10]. Samir Palnitkar, Verilog HDL: A Guide to Digital Design and synthesis, 2nd ed, Hall PTR Pub, 2003. [11]. C. Spear,” A Guide to Learning the Testbench Language Features”,in System Verilog for verification, 2nd ed., Springer Publishing Company, Incorporated,2008,pp. 11-18.