SlideShare une entreprise Scribd logo
1  sur  44
Télécharger pour lire hors ligne
Day 2
Data Preparation Process

       Session Speaker
       Ajaya Kumar.s




                           1
PEMP VSD531


Session objectives


After completing this session, students will be able


• To understand the physical design flow

• To understand the need for physical design

• To know about the tools used for physical design

                   ©M.S.Ramaiah School Of Advanced Studies             2
PEMP VSD531


Session Topics




• Detailed Physical Design Flow


• Foundry Files, Parameters, Rules and Guidelines

• Processing the Cells


                  ©M.S.Ramaiah School Of Advanced Studies             3
PEMP VSD531



       Physical Design Input Data



Types of data that are required to start a physical design are

• Technology and library files

• Circuit description of the design in the form of netlist representation

• Timing requirements or design constraints

• Floorplan or the physical layout structure



                           ©M.S.Ramaiah School Of Advanced Studies             4
PEMP VSD531



      Technology and library files

• Technology File (tf)

• Library Exchange Format (LEF)


• Design Exchange Format (DEF)


• Physical library (PLIB)


• Physical Design Exchange Format (PDEF)




                            ©M.S.Ramaiah School Of Advanced Studies             5
PEMP VSD531



      Technology and library files Cont…

• Cell Library Format File (CLF)


• Advanced Library Format (ALF)



• Top Design Format File (TDF)


• Table Look up (TLU)


• Interconnect Technology Files (ITF)


                           ©M.S.Ramaiah School Of Advanced Studies             6
PEMP VSD531



        Technology rule basics

• Manufacturing grid
• Routing grid
• Standard cell placement tile
• Routing layer definition
• Placement and routing blockage layer definition
• Via definition
• Conducting layer density rule
• Metal layer slotting rule
• Routing layer physical profile
• Antenna definition
• Fringe Cap Section
• Place and Route Rule Section

                         ©M.S.Ramaiah School Of Advanced Studies             7
PEMP VSD531



         Technology rule basics Cont..

Manufacturing grid :
    Manufacturing grid is determined by the smallest geometry that a semiconductor
    foundry can process. All drawn geometries during physical design must snap to
    this manufacturing grid.

Routing grid

    Routing grids or tracks are used by physical synthesis and place-and-route tools
    during detail routing. The routing tracks can be grid-based, gridless based, or
    subgrid-based.

Standard cell placement tile
    Standard cell placement tile is used during the placement phase. The placement
    tile is defined by one vertical routing track and the standard cell height.


                               ©M.S.Ramaiah School Of Advanced Studies                   8
PEMP VSD531



        Technology rule basics Cont..

Routing layer definition

   Routing layer definition is used to define the layers that are used to route the
   design. These definitions include wire width, routing pitch, and preferred routing
   direction such as vertical, horizontal, or diagonal.

Placement and routing blockage

 Placement and routing blockage layer definitions are internal to physical design
 tools and are used to define “keep-out” regions for standard cell placement and
 routing.

Via definition

 Via definition defines the layer, size, and type for connection between overlapping
 geometries of conductor for different conductive layers. This cut layer, or via, can
 be a single via, stacked via, or array of via.

                               ©M.S.Ramaiah School Of Advanced Studies                  9
PEMP VSD531



         Technology rule basics Cont..

Conducting layer density
    Conducting layer density rule defines the percentage of area of the chip that
    is required for processes that are using Chemical Mechanical Polishing (CMP)
    for each physical layer in the design.

Metal layers slotting
   Configuration of metal layers slotting rule defines the minimum layer width that
   may need to have slotting features (i.e. a cut inside a wide routing layer). This rule
   varies between foundries and is used to limit mechanical stress for a given
   conducting layer
Physical profile

   Physical profile for each layer is used to define and include conductor thickness,
   height, and interlayer dielectric thickness. Definition of the electrical interconnect
   profile includes resistance and dielectric constants.
                                ©M.S.Ramaiah School Of Advanced Studies                     10
PEMP VSD531



         Technology rule basics Cont..

Antenna definition

 Antenna definition for each layer configures the physical design tools for automatic
 antenna repair. Antenna phenomena occur during the metallization process when
 some wires connected to the polysilicon gates of transistors are left unconnected
 until the upper conducting layers are deposited.

 A long wire connected to the gate of MOSFT can act as a capacitor or antenna that
 collects charges during the plasma-etching step. If this energy build-up on the
 floating transistor gate is suddenly discharged, the transistor could suffer
  permanent damage due to gate oxide breakdown.




                               ©M.S.Ramaiah School Of Advanced Studies                  11
PEMP VSD531



        Technology rule basics Cont..


FringeCap Section


 A FringeCap section specifies capacitance information for interconnect layers when
 they are overlapping or parallel to each other.


   • Capacitance per unit area when objects on different layers overlap (interfringe)


   • Capacitance per unit length when objects on the same layer are      separated by the
     minimum spacing specified in the technology file Layer section (intrafringe)




                               ©M.S.Ramaiah School Of Advanced Studies                  12
PEMP VSD531



      Technology rule basics Cont..

                                                       FringeCap Section (Interfringe)
Interfringe and Intrafringe                            FringeCap 4 {
                                                       number = 4
                                                       layer1 = "M2“
                                                       layer2 = "M3"
                                                       minFringeCap = 0.00022
                                                       nomFringeCap = 0.00022
                                                       maxFringeCap = 0.00022

                                                        FringeCap Section (Intrafringe)
                                                        FringeCap 4 {
                                                        number = 4
                                                        layer1 = "M2"
                                                        layer2 = "M2"
                                                        minFringeCap = 0.00017
                                                        nomFringeCap = 0.00017
                                                        maxFringeCap = 0.00017
                                                        }


                       ©M.S.Ramaiah School Of Advanced Studies                                     13
PEMP VSD531



        Technology rule basics Cont..

Place and Route Rule Section
  PRRule Section
  The PRRule section of a technology file defines cell row spacing.


Cell Row Spacing

 When using double-back cell rows in your floorplan, you specify the following
 row spacing rules:
 • Between top edge and top edge
 • Between bottom edge and bottom edge



                              ©M.S.Ramaiah School Of Advanced Studies               14
PEMP VSD531



        Technology rule basics Cont..

Row Spacing Rule for Double-Back Cells




Row Spacing Rule for Non-Double-Back Cells




                              ©M.S.Ramaiah School Of Advanced Studies            15
PEMP VSD531



         Technology rule basics Cont..

Pitch
Defines the predominant separation distance between the centers of objects on the layer. Place
and route tool uses the pitch you specify to generate wire tracks in the unit tile.




                                 ©M.S.Ramaiah School Of Advanced Studies                      16
PEMP VSD531



         Technology rule basics Cont..

Library Exchange Format (LEF)

The Library Exchange Format (LEF) is a method of providing library information
from a third-party database to milkyway.

LEF defines the elements of an IC process technology and associated library of
cell models and contains library information for a class of designs.

It includes:
• Layer definition
• Via
• Placement
• Site type, and macro cell definitions

                               ©M.S.Ramaiah School Of Advanced Studies               17
PEMP VSD531



        Technology rule basics Cont..

Tech Library Exchange Format (LEF) Example

TYPE ROUTING ;
  DIRECTION HORIZONTAL ;
  PITCH 0.200 ;
  OFFSET 0.000 ;
  HEIGHT 0.5900 ;
  THICKNESS 0.1800 ;
  FILLACTIVESPACING 0.300 ;
  WIDTH 0.09 ;
  MAXWIDTH 12.0 ;
  AREA 0.042
  RESISTANCE RPERSQ 0.1600000000 ;
  CAPACITANCE CPERSQDIST 0.0001711111
  ACCURRENTDENSITY AVERAGE
  FREQUENCY 500
  WIDTH          0.090 1.000  12.000 ;
                            ©M.S.Ramaiah School Of Advanced Studies            18
PEMP VSD531



         Technology rule basics Cont..

Cell Library Exchange Format (LEF) Example
                                                                      PIN VSS
MACRO BUFTHVTD20                                                          DIRECTION INOUT ;
 CLASS CORE ;                                                             USE ground ;
 FOREIGN BUFTHVTD20 0.000 0.000 ;                                         SHAPE ABUTMENT ;
 ORIGIN 0.000 0.000 ;                                                     PORT
 SIZE 16.560 BY 3.690 ;                                                   LAYER METAL1 ;
 SYMMETRY x y ;                                                           RECT 6.840 -0.310 16.560 0.310 ;
 SITE core ;                                                              RECT 6.580 -0.310 6.840 0.500 ;
 PIN Z                                                                PIN VDD
                                                                          DIRECTION INOUT ;
PIN OE                                                                    USE power ;
    ANTENNAGATEAREA 1.071 ;                                               SHAPE ABUTMENT ;
    DIRECTION INPUT ;                                                     PORT
    PORT                                                                  LAYER METAL1 ;
    LAYER METAL1 ;                                                        RECT 2.490 3.380 16.560 4.000 ;
    RECT 5.980 1.085 6.180 1.640 ;                                        RECT 2.230 3.190 2.490 4.000 ;
    RECT 4.935 1.085 5.980 1.245 ;                                        RECT 0.000 3.380 2.230 4.000 ;
    RECT 4.475 1.085 4.935 1.375                                          END
                                                                        END VDD
                                     ©M.S.Ramaiah School Of Advanced Studies                                 19
PEMP VSD531



              Technology rule basics Cont..

contact_layer ( "CONT" );
  routing_layer ( "METAL1" ) {
   default_routing_width : 0.16;
   spacing : 0.18;
   pitch : 0.41;
   routing_direction : horizontal;
   res_per_sq : 7.7e-05;
   coupling_cap : 9.13e-05;
   cap_per_sq : 0.0001163;
   fringe_cap : 6.9e-05;
   height : 0.89;
   thickness : 0.3;
   max_current_density : 14.3;
   min_area : 0.122;
   min_enclosed_area : 0.2;
   min_width : 0.16;
   spacing_check_style : diagonal;
   spacing_table ( "fat_spacing" ) {
    index_1 ( "0, 0.3, 10.001" );
    index_2 ( "0, 0.3, 10.001" );
    index_3 ( "0, 1" );
    values ( "0.18, 0.18", "0.18, 0.22", "0.6, 0.6", "0.18,
           0.22","0.18, 0.22","0.6, 0.6", "0.6, 0.6", "0.6,
           0.6", "0.6, 0.6" );
   } /* end spacing_table */
                                                  ©M.S.Ramaiah School Of Advanced Studies            20
PEMP VSD531



        Technology rule basics Cont..

Physical Design Exchange Format (PDEF)

  Physical Design Exchange Format (PDEF) information defines the elements of an
  IC design relevant to physical layout, including the netlist and design constraints.




                              ©M.S.Ramaiah School Of Advanced Studies                    21
PEMP VSD531



             Technology rule basics Cont..

Advanced Library Format (ALF) define the Signal Electromigration on Interconnects
analysis
 LAYER METAL1 {
     PURPOSE = routing ;
     LIMIT {
        CURRENT ave_limit {
           MEASUREMENT = average ;
           MAX { HEADER {
              TEMPERATURE { TABLE { 85 100 105 110 120 125 } }
              WIDTH { TABLE { 0.16 1 12 } }
              } TABLE { 0.533     0.316  0.268   0.229   0.168     0.145
                          3.332   1.973  1.673   1.430   1.051     0.905
                          39.983 23.681 20.077 17.160 12.613       10.862 } }
        }
        CURRENT rms_limit {
           MEASUREMENT = rms ;
           MAX { HEADER {
              TEMPERATURE { TABLE { 105 110 115 } }
              WIDTH { TABLE { 0.16 0.32 0.64 1 5 12 } }
              } TABLE { 3.537     3.537  3.537
                          5.639   5.639  5.639
                          9.523   9.523  9.523
                          13.760 13.760 13.760
                          59.939 59.939 59.939
                          140.486        140.486         140.486   } }
        }
        CURRENT peak_limit {
           MEASUREMENT = peak ;
           MAX { HEADER {
              TEMPERATURE { TABLE { 105 110 115 } }
              WIDTH { TABLE { 0.16 1 12 } }
              } TABLE { 5.883    5.883  5.883
                         36.770 36.770 36.770
                                         441.235         441.235           441.235 } }
                                              ©M.S.Ramaiah School Of Advanced Studies             22
PEMP VSD531



        Technology rule basics Cont..


Table Look up (TLU)


TLUPlus models are a set of CapTable and ResModel generated by grdgenxo (Start-
RCXT) from ITF or provided by the foundry. It contains unit wire caps at different
spacing and widths and unit layer resistance to allow extraction engine look up
appropriate values during the extraction.

The output of TLUPlus file will be a binary file.
• Units of pf and micron (for both ITF and technology files)
• Nominal operating condition (for CapTable names only)
• Table dimension 5x16 (width vs. spacing)
• Grid points are multiples of minimum width and spacing values

                               ©M.S.Ramaiah School Of Advanced Studies               23
PEMP VSD531



        Technology rule basics Cont..



Benefits
• Single process description can be used at design and verification stages


• Advanced process effects such as metal fill and conformal dielectrics, are
  directly taken into account in the CapTable generation of TLUPlus


• TLUPlus uses more accurate engine to create the cap table than capGen which was
 used in TLU


• Quicker timing closure and quicker time to market
                               ©M.S.Ramaiah School Of Advanced Studies                  24
PEMP VSD531



       Technology rule basics Cont..


Interconnect Technology Files (ITF)

  ITF stands for interconnect technology format. It models advanced processing
  information like width-and-spacing dependent RPSQ/Etch, temperature
  coefficients of the second order, which the traditional technology file does not
  have. comboITF, which is the combination of min/nom/max ITF, cap unit of the
  CapTable, res unit of the ResModel and mapping file, will provide a means for
  extraction to calculate RC according to the same ResModel presented in
  the ITF




                              ©M.S.Ramaiah School Of Advanced Studies                 25
PEMP VSD531



         Technology rule basics Cont..

Cell Library Format File (CLF)

   CLF file function descriptions for cell definition functions used by place and route
   tool.


Cell Definition Functions:
The purpose of a CLF file is to provide timing information for timing driven place
and route. The CLF file provides Astro with information about cells in the library.
The Synopsys application uses this information during
• Pin and blockage extraction
• Pad placement
• Clock tree synthesis

                                ©M.S.Ramaiah School Of Advanced Studies                   26
PEMP VSD531



           Digital Standard Cell Library Deliverables


N                      Type                                             Description
    .doc, .txt                          Databook / User guide, Layer usage file
    .sdb, .slib                         Symbols
    .db, .lib                           Synthesis
    .v                                  Verilog simulation models
    .vhd                                VHDL / Vital simulation models
    .sp                                 HSPICE netlists
    .rcx                                Extracted RC netlists for different corners
    .gds                                GDSII layout views
    .drc, .lvs, .erc                    Report files
    .lef                                LEF files
    .fram, .cel                         Fram views, layout views and runset files
    .plib                               Physical compiler views

                              ©M.S.Ramaiah School Of Advanced Studies                          27
PEMP VSD531




     Physical structure of digital cell

     VDD
                                         The selection of physical structure of digital cell
                                         is aimed at providing maximum cell density in
W1                                       digital designs. It is more important to provide
                                         minimal area for the most frequently used cells.
                                         In general, these are usually NAND cells with
                                         two inputs, and D flip-flops
                           W4

                                                         Minimum center-to-center distance
                W3
           W2                      H                                   dtrack




W1


     VSS
                                                                d1                   d2

                                                         Minimum spacing        Minimum width

                     ©M.S.Ramaiah School Of Advanced Studies                                             28
PEMP VSD531




           Physical structure of double height digital cell

     VDD
      H
W1
                                                                                   Symbol
                     VDD   W5                                       Parameter                 Value
                      L

                            W4                                                      H
                W3                               Cell height                                2.88 um
       W2                            H

                                                 Power rail width                  W1       0.16 um

                                                 Vertical grid                     W2       0.32 um
W1
                                                 Horizontal grid                   W3       0.32 um
           VS
            S
                                                 NWell height                      W4       1.68 um
           W2   W3
                                     H
                                                                                   W5
                                W4               VDDH to VDDL height (Fig. 8.3)             0.72 um


W1

     VDD
      H                                                                                               29
                                         ©M.S.Ramaiah School Of Advanced Studies
PEMP VSD531




        Processing Cells

Activity Flow




                       ©M.S.Ramaiah School Of Advanced Studies            30
PEMP VSD531




       Data Preparation Using Milkyway


The Milkyway Environment




                           ©M.S.Ramaiah School Of Advanced Studies            31
PEMP VSD531




        Data Preparation Using Milkyway Cont…



The Milkyway and the data preparation functions can perform several tasks
essential for cell library and data preparation, including the following:

• Creating cell libraries
• Importing cell data
• Specifying technology information
• Writing technology information to a file
• Removing cell hierarchy
• Specifying power and ground port types
• Optimizing the standard cell layout
• Extracting pin and blockage information
• Setting place and route boundaries
• Defining wire tracks


                              ©M.S.Ramaiah School Of Advanced Studies                32
PEMP VSD531




        Data Preparation Using Milkyway Cont…

Flow for Creating a Milkyway Library From LEF




                             ©M.S.Ramaiah School Of Advanced Studies            33
PEMP VSD531




         Data Preparation Using Milkyway Cont…

Standard Cells wire tracks




                             ©M.S.Ramaiah School Of Advanced Studies            34
PEMP VSD531




         Data Preparation Using Milkyway Cont…

Place and Route Boundary




                           ©M.S.Ramaiah School Of Advanced Studies            35
PEMP VSD531




        Data Preparation Using Milkyway Cont…


Flow for Importing and Exporting a DEF File




                             ©M.S.Ramaiah School Of Advanced Studies            36
PEMP VSD531



         Design Constraints

Design constraints are ASIC design specifications that are applied during logic and
physical synthesis. Each tool attempts to meet two general design constraints
    • Timing constraints
    • Design rule constraints

Timing constraints are user-specified and are related to speed, area, and the power
consumption of the ASIC design.
Timing constraints utilized by physical design tools are performance related.The most
basic timing constraints are as follows
    • System clock definition and clock delays
    • Multiple cycle paths
    • Input and output delays
    • Minimum and maximum path delays
    • Input transition and output load capacitance
    • False paths
                               ©M.S.Ramaiah School Of Advanced Studies                  37
PEMP VSD531



         Timing Constraints Cont..

Multiple cycle paths
  Multiple cycle paths are for ASIC designs that have a non-single cycle clock timing
  requirement. This directs the physical design tools to avoid optimization of data paths
  that have non-single clock behavior.
Input and output delays

  Input and output delays are used to constrain the boundary of external paths in an
  ASIC design. These constraints specify point-to-point delays from external inputs to
  the first registers and from registers to the outputs of an ASIC design.
Minimum and maximum path delays

  Minimum and maximum path delays provide greater flexibility for physical synthesis
  tools that have a point-to-point optimization capability. This means that one can
  specify timing constraints from one specific point (e.g. pin or port) in the ASIC
  design to another, provided such a path exists between the two specified points

                               ©M.S.Ramaiah School Of Advanced Studies                  38
PEMP VSD531



         Timing Constraints Cont..


Input transition and output load capacitance

    Input transition and output capacitance loads are used to constrain the input slew
    rate and output capacitance of an ASIC device input and output pins. These
    constraints have a direct effect on the final ASIC design timing.

False paths

   False paths are used to specify point-to-point non-critical timing either internal or
   external to an ASIC design. Properly identifying these non critical timing paths
   has a great impact on physical design tools’ performance.




                                ©M.S.Ramaiah School Of Advanced Studies                    39
PEMP VSD531



        Design Rule Constraints


Design rule constraints are imposed upon ASIC designs by requirements specified in
a given standard cell library or within physical design tools.

Design rule constraints have precedence over timing constraints because they have
to be met in order to realize a functional ASIC design. There are four types of major
design rule constraints

• Maximum number of fan-outs

• Maximum transitions

• Maximum capacitance

• Maximum wire length

                               ©M.S.Ramaiah School Of Advanced Studies                  40
PEMP VSD531




        Design Rule Constraints Cont..


Maximum number of fan-outs

  Maximum number of fan-outs specify the number of destinations that one cell can
  connect to for each standard cell in the library. This constraint can also be applied at
  the ASIC design level during the physical synthesis to control the number of
  connections one cell can make.
Maximum transition constraint

  Maximum transition constraint is the maximum allowable input transitions for each
  individual cell in the standard cell library. Apart from each element in the standard
  cell library, this constraint can be applied to a specific net or to an entire ASIC
  design.



                                ©M.S.Ramaiah School Of Advanced Studies                  41
PEMP VSD531



        Design Rule Constraints Cont..


Maximum capacitance constraint

    Maximum capacitance constraint behaves similarly to maximum transition
    constraint, but the cost is based on the total capacitance that a particular standard
    cell can drive any interconnection in the ASIC design. It should be noted that this
    constraint is fully independent of maximum transition, and therefore, it can be
    used in conjunction with maximum transition.

Maximum wire length constraint

   Maximum wire length constraint is useful for controlling the length of wire
   to reduce the possibility of two parallel long wires of the same type. Parallel
   long wires of the same type may have a negative impact on the noise
   injection and may cause crosstalk.


                                ©M.S.Ramaiah School Of Advanced Studies                   42
PEMP VSD531




               Detailed Physical Design Flow
Design Specs      Fnl. Design                             •   Architectural optimization (timing)
                                                          •   Inter-group buses, bandwidth
                                                          •   Clock, SI, test; validation
                    Synthesis            Constraints
Lib.+CWLM

                 Floor-plan & PG                          •   Floorplanning and custom WLM
Lib.+CWLM
                                                          •   Power distribution (Internal, I/O)
                   Placement                              •   I/O driver, padring design
                                                          •   Board-level timing, SI

                Physical re-synth
                                                          •   Row definitions
                                                          •   Placement of cells
                 Clock distribution
                                                          •   Congestion analysis

                Route, scan re-order
                                                          •   Placement-based re-synthesis
                                                          •   Noise minimization, isolation
                Timing analysis, IPO                      •   Clock distribution

                 Fnl., pwr., SI ECO
                                                          •   Full routing
                                                          •   Scan stitching, re-ordering
   Reqmts.       ERC, DRC, LVS

                                                          •   Full RC back-annotation
                                                          •   Hierarchical timing, electrical and SI analysis and
                    Tape-out        ©M.S.Ramaiah School Of Advanced Studies
                                                              IPO/ECO                                                  43
PEMP VSD531



   Session Summary


• Technology, ckt description, timing and design constraint file are the basic input
  for the physical design

• The format in which the digital libraries are supplied as a design kit in the suitable
  format wrt EDA tools are studied

• Besides being the name for the data preparation tool, Milkyway is the name for
  the unified database the tool interacts with.

• Libraries contain information about design cells, standard cells, macro cells, and
  so on. They contain physical descriptions, such as metal, diffusion, and polygon
  geometries. Libraries also contain logical information (functionality and timing
 characteristics) for every cell in the library.



                              ©M.S.Ramaiah School Of Advanced Studies                      44

Contenu connexe

Tendances

Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI SYSTEM Design
 

Tendances (20)

Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Eco
EcoEco
Eco
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Physical design
Physical design Physical design
Physical design
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 

En vedette

Data Preparation and Processing
Data Preparation and ProcessingData Preparation and Processing
Data Preparation and ProcessingMehul Gondaliya
 
Data Collection Preparation
Data Collection PreparationData Collection Preparation
Data Collection PreparationBusiness Student
 
Theory & Practice of Data Cleaning: Introduction to OpenRefine
Theory & Practice of Data Cleaning: Introduction to OpenRefineTheory & Practice of Data Cleaning: Introduction to OpenRefine
Theory & Practice of Data Cleaning: Introduction to OpenRefineBertram Ludäscher
 
RESEARCH METHODOLOGY- PROCESSING OF DATA
RESEARCH METHODOLOGY- PROCESSING OF DATARESEARCH METHODOLOGY- PROCESSING OF DATA
RESEARCH METHODOLOGY- PROCESSING OF DATAjeni jerry
 
research methodology data processing EDITING
research methodology data processing EDITING research methodology data processing EDITING
research methodology data processing EDITING Suvin Lal
 

En vedette (7)

Data Preparation and Processing
Data Preparation and ProcessingData Preparation and Processing
Data Preparation and Processing
 
Data Collection Preparation
Data Collection PreparationData Collection Preparation
Data Collection Preparation
 
Data Cleaning
Data CleaningData Cleaning
Data Cleaning
 
Theory & Practice of Data Cleaning: Introduction to OpenRefine
Theory & Practice of Data Cleaning: Introduction to OpenRefineTheory & Practice of Data Cleaning: Introduction to OpenRefine
Theory & Practice of Data Cleaning: Introduction to OpenRefine
 
RESEARCH METHODOLOGY- PROCESSING OF DATA
RESEARCH METHODOLOGY- PROCESSING OF DATARESEARCH METHODOLOGY- PROCESSING OF DATA
RESEARCH METHODOLOGY- PROCESSING OF DATA
 
Data Cleaning Techniques
Data Cleaning TechniquesData Cleaning Techniques
Data Cleaning Techniques
 
research methodology data processing EDITING
research methodology data processing EDITING research methodology data processing EDITING
research methodology data processing EDITING
 

Similaire à 2 data preparation process

Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Remy Lumin
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ira Feldman
 
slides_tese_v3_paraAnexoTesis
slides_tese_v3_paraAnexoTesisslides_tese_v3_paraAnexoTesis
slides_tese_v3_paraAnexoTesisJorge Tonfat
 
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSUNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSDr.YNM
 
lect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_ruleslect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_rulesvein
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...Editor IJMTER
 
AJAY NANOCHIP RESUME
AJAY NANOCHIP RESUMEAJAY NANOCHIP RESUME
AJAY NANOCHIP RESUMEAJAY ABRAHAM
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICIndira Priyadarshini
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 
FEA AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTURE
FEA  AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTUREFEA  AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTURE
FEA AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTUREVishal Mudka
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
Smit_Patel_Layout_Design_Resume_Final
Smit_Patel_Layout_Design_Resume_FinalSmit_Patel_Layout_Design_Resume_Final
Smit_Patel_Layout_Design_Resume_FinalSMIT A. PATEL
 
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...Virendra Uppalwar
 
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...Ira Feldman
 

Similaire à 2 data preparation process (20)

Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.
 
Design concepts in Microelectronics
Design concepts in MicroelectronicsDesign concepts in Microelectronics
Design concepts in Microelectronics
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
 
slides_tese_v3_paraAnexoTesis
slides_tese_v3_paraAnexoTesisslides_tese_v3_paraAnexoTesis
slides_tese_v3_paraAnexoTesis
 
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSUNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONS
 
lect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_ruleslect5_Stick_diagram_layout_rules
lect5_Stick_diagram_layout_rules
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
 
PCM_to_device_model
PCM_to_device_modelPCM_to_device_model
PCM_to_device_model
 
Pcm to device_model
Pcm to device_modelPcm to device_model
Pcm to device_model
 
Pcm to device_model
Pcm to device_modelPcm to device_model
Pcm to device_model
 
AJAY NANOCHIP RESUME
AJAY NANOCHIP RESUMEAJAY NANOCHIP RESUME
AJAY NANOCHIP RESUME
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
FEA AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTURE
FEA  AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTUREFEA  AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTURE
FEA AND EXPERIMENTAL QUASI-STATIC CRUSHING OF ALUMINIUM HONEYCOMB STRUCTURE
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Smit_Patel_Layout_Design_Resume_Final
Smit_Patel_Layout_Design_Resume_FinalSmit_Patel_Layout_Design_Resume_Final
Smit_Patel_Layout_Design_Resume_Final
 
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...
“Design of Efficient Mobile Femtocell by Compression and Aggregation Technolo...
 
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
 
Embedded dram
Embedded dramEmbedded dram
Embedded dram
 

Dernier

social pharmacy d-pharm 1st year by Pragati K. Mahajan
social pharmacy d-pharm 1st year by Pragati K. Mahajansocial pharmacy d-pharm 1st year by Pragati K. Mahajan
social pharmacy d-pharm 1st year by Pragati K. Mahajanpragatimahajan3
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfJayanti Pande
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024Janet Corral
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...fonyou31
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfciinovamais
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDThiyagu K
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 

Dernier (20)

Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 
social pharmacy d-pharm 1st year by Pragati K. Mahajan
social pharmacy d-pharm 1st year by Pragati K. Mahajansocial pharmacy d-pharm 1st year by Pragati K. Mahajan
social pharmacy d-pharm 1st year by Pragati K. Mahajan
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 

2 data preparation process

  • 1. Day 2 Data Preparation Process Session Speaker Ajaya Kumar.s 1
  • 2. PEMP VSD531 Session objectives After completing this session, students will be able • To understand the physical design flow • To understand the need for physical design • To know about the tools used for physical design ©M.S.Ramaiah School Of Advanced Studies 2
  • 3. PEMP VSD531 Session Topics • Detailed Physical Design Flow • Foundry Files, Parameters, Rules and Guidelines • Processing the Cells ©M.S.Ramaiah School Of Advanced Studies 3
  • 4. PEMP VSD531 Physical Design Input Data Types of data that are required to start a physical design are • Technology and library files • Circuit description of the design in the form of netlist representation • Timing requirements or design constraints • Floorplan or the physical layout structure ©M.S.Ramaiah School Of Advanced Studies 4
  • 5. PEMP VSD531 Technology and library files • Technology File (tf) • Library Exchange Format (LEF) • Design Exchange Format (DEF) • Physical library (PLIB) • Physical Design Exchange Format (PDEF) ©M.S.Ramaiah School Of Advanced Studies 5
  • 6. PEMP VSD531 Technology and library files Cont… • Cell Library Format File (CLF) • Advanced Library Format (ALF) • Top Design Format File (TDF) • Table Look up (TLU) • Interconnect Technology Files (ITF) ©M.S.Ramaiah School Of Advanced Studies 6
  • 7. PEMP VSD531 Technology rule basics • Manufacturing grid • Routing grid • Standard cell placement tile • Routing layer definition • Placement and routing blockage layer definition • Via definition • Conducting layer density rule • Metal layer slotting rule • Routing layer physical profile • Antenna definition • Fringe Cap Section • Place and Route Rule Section ©M.S.Ramaiah School Of Advanced Studies 7
  • 8. PEMP VSD531 Technology rule basics Cont.. Manufacturing grid : Manufacturing grid is determined by the smallest geometry that a semiconductor foundry can process. All drawn geometries during physical design must snap to this manufacturing grid. Routing grid Routing grids or tracks are used by physical synthesis and place-and-route tools during detail routing. The routing tracks can be grid-based, gridless based, or subgrid-based. Standard cell placement tile Standard cell placement tile is used during the placement phase. The placement tile is defined by one vertical routing track and the standard cell height. ©M.S.Ramaiah School Of Advanced Studies 8
  • 9. PEMP VSD531 Technology rule basics Cont.. Routing layer definition Routing layer definition is used to define the layers that are used to route the design. These definitions include wire width, routing pitch, and preferred routing direction such as vertical, horizontal, or diagonal. Placement and routing blockage Placement and routing blockage layer definitions are internal to physical design tools and are used to define “keep-out” regions for standard cell placement and routing. Via definition Via definition defines the layer, size, and type for connection between overlapping geometries of conductor for different conductive layers. This cut layer, or via, can be a single via, stacked via, or array of via. ©M.S.Ramaiah School Of Advanced Studies 9
  • 10. PEMP VSD531 Technology rule basics Cont.. Conducting layer density Conducting layer density rule defines the percentage of area of the chip that is required for processes that are using Chemical Mechanical Polishing (CMP) for each physical layer in the design. Metal layers slotting Configuration of metal layers slotting rule defines the minimum layer width that may need to have slotting features (i.e. a cut inside a wide routing layer). This rule varies between foundries and is used to limit mechanical stress for a given conducting layer Physical profile Physical profile for each layer is used to define and include conductor thickness, height, and interlayer dielectric thickness. Definition of the electrical interconnect profile includes resistance and dielectric constants. ©M.S.Ramaiah School Of Advanced Studies 10
  • 11. PEMP VSD531 Technology rule basics Cont.. Antenna definition Antenna definition for each layer configures the physical design tools for automatic antenna repair. Antenna phenomena occur during the metallization process when some wires connected to the polysilicon gates of transistors are left unconnected until the upper conducting layers are deposited. A long wire connected to the gate of MOSFT can act as a capacitor or antenna that collects charges during the plasma-etching step. If this energy build-up on the floating transistor gate is suddenly discharged, the transistor could suffer permanent damage due to gate oxide breakdown. ©M.S.Ramaiah School Of Advanced Studies 11
  • 12. PEMP VSD531 Technology rule basics Cont.. FringeCap Section A FringeCap section specifies capacitance information for interconnect layers when they are overlapping or parallel to each other. • Capacitance per unit area when objects on different layers overlap (interfringe) • Capacitance per unit length when objects on the same layer are separated by the minimum spacing specified in the technology file Layer section (intrafringe) ©M.S.Ramaiah School Of Advanced Studies 12
  • 13. PEMP VSD531 Technology rule basics Cont.. FringeCap Section (Interfringe) Interfringe and Intrafringe FringeCap 4 { number = 4 layer1 = "M2“ layer2 = "M3" minFringeCap = 0.00022 nomFringeCap = 0.00022 maxFringeCap = 0.00022 FringeCap Section (Intrafringe) FringeCap 4 { number = 4 layer1 = "M2" layer2 = "M2" minFringeCap = 0.00017 nomFringeCap = 0.00017 maxFringeCap = 0.00017 } ©M.S.Ramaiah School Of Advanced Studies 13
  • 14. PEMP VSD531 Technology rule basics Cont.. Place and Route Rule Section PRRule Section The PRRule section of a technology file defines cell row spacing. Cell Row Spacing When using double-back cell rows in your floorplan, you specify the following row spacing rules: • Between top edge and top edge • Between bottom edge and bottom edge ©M.S.Ramaiah School Of Advanced Studies 14
  • 15. PEMP VSD531 Technology rule basics Cont.. Row Spacing Rule for Double-Back Cells Row Spacing Rule for Non-Double-Back Cells ©M.S.Ramaiah School Of Advanced Studies 15
  • 16. PEMP VSD531 Technology rule basics Cont.. Pitch Defines the predominant separation distance between the centers of objects on the layer. Place and route tool uses the pitch you specify to generate wire tracks in the unit tile. ©M.S.Ramaiah School Of Advanced Studies 16
  • 17. PEMP VSD531 Technology rule basics Cont.. Library Exchange Format (LEF) The Library Exchange Format (LEF) is a method of providing library information from a third-party database to milkyway. LEF defines the elements of an IC process technology and associated library of cell models and contains library information for a class of designs. It includes: • Layer definition • Via • Placement • Site type, and macro cell definitions ©M.S.Ramaiah School Of Advanced Studies 17
  • 18. PEMP VSD531 Technology rule basics Cont.. Tech Library Exchange Format (LEF) Example TYPE ROUTING ; DIRECTION HORIZONTAL ; PITCH 0.200 ; OFFSET 0.000 ; HEIGHT 0.5900 ; THICKNESS 0.1800 ; FILLACTIVESPACING 0.300 ; WIDTH 0.09 ; MAXWIDTH 12.0 ; AREA 0.042 RESISTANCE RPERSQ 0.1600000000 ; CAPACITANCE CPERSQDIST 0.0001711111 ACCURRENTDENSITY AVERAGE FREQUENCY 500 WIDTH 0.090 1.000 12.000 ; ©M.S.Ramaiah School Of Advanced Studies 18
  • 19. PEMP VSD531 Technology rule basics Cont.. Cell Library Exchange Format (LEF) Example PIN VSS MACRO BUFTHVTD20 DIRECTION INOUT ; CLASS CORE ; USE ground ; FOREIGN BUFTHVTD20 0.000 0.000 ; SHAPE ABUTMENT ; ORIGIN 0.000 0.000 ; PORT SIZE 16.560 BY 3.690 ; LAYER METAL1 ; SYMMETRY x y ; RECT 6.840 -0.310 16.560 0.310 ; SITE core ; RECT 6.580 -0.310 6.840 0.500 ; PIN Z PIN VDD DIRECTION INOUT ; PIN OE USE power ; ANTENNAGATEAREA 1.071 ; SHAPE ABUTMENT ; DIRECTION INPUT ; PORT PORT LAYER METAL1 ; LAYER METAL1 ; RECT 2.490 3.380 16.560 4.000 ; RECT 5.980 1.085 6.180 1.640 ; RECT 2.230 3.190 2.490 4.000 ; RECT 4.935 1.085 5.980 1.245 ; RECT 0.000 3.380 2.230 4.000 ; RECT 4.475 1.085 4.935 1.375 END END VDD ©M.S.Ramaiah School Of Advanced Studies 19
  • 20. PEMP VSD531 Technology rule basics Cont.. contact_layer ( "CONT" ); routing_layer ( "METAL1" ) { default_routing_width : 0.16; spacing : 0.18; pitch : 0.41; routing_direction : horizontal; res_per_sq : 7.7e-05; coupling_cap : 9.13e-05; cap_per_sq : 0.0001163; fringe_cap : 6.9e-05; height : 0.89; thickness : 0.3; max_current_density : 14.3; min_area : 0.122; min_enclosed_area : 0.2; min_width : 0.16; spacing_check_style : diagonal; spacing_table ( "fat_spacing" ) { index_1 ( "0, 0.3, 10.001" ); index_2 ( "0, 0.3, 10.001" ); index_3 ( "0, 1" ); values ( "0.18, 0.18", "0.18, 0.22", "0.6, 0.6", "0.18, 0.22","0.18, 0.22","0.6, 0.6", "0.6, 0.6", "0.6, 0.6", "0.6, 0.6" ); } /* end spacing_table */ ©M.S.Ramaiah School Of Advanced Studies 20
  • 21. PEMP VSD531 Technology rule basics Cont.. Physical Design Exchange Format (PDEF) Physical Design Exchange Format (PDEF) information defines the elements of an IC design relevant to physical layout, including the netlist and design constraints. ©M.S.Ramaiah School Of Advanced Studies 21
  • 22. PEMP VSD531 Technology rule basics Cont.. Advanced Library Format (ALF) define the Signal Electromigration on Interconnects analysis LAYER METAL1 { PURPOSE = routing ; LIMIT { CURRENT ave_limit { MEASUREMENT = average ; MAX { HEADER { TEMPERATURE { TABLE { 85 100 105 110 120 125 } } WIDTH { TABLE { 0.16 1 12 } } } TABLE { 0.533 0.316 0.268 0.229 0.168 0.145 3.332 1.973 1.673 1.430 1.051 0.905 39.983 23.681 20.077 17.160 12.613 10.862 } } } CURRENT rms_limit { MEASUREMENT = rms ; MAX { HEADER { TEMPERATURE { TABLE { 105 110 115 } } WIDTH { TABLE { 0.16 0.32 0.64 1 5 12 } } } TABLE { 3.537 3.537 3.537 5.639 5.639 5.639 9.523 9.523 9.523 13.760 13.760 13.760 59.939 59.939 59.939 140.486 140.486 140.486 } } } CURRENT peak_limit { MEASUREMENT = peak ; MAX { HEADER { TEMPERATURE { TABLE { 105 110 115 } } WIDTH { TABLE { 0.16 1 12 } } } TABLE { 5.883 5.883 5.883 36.770 36.770 36.770 441.235 441.235 441.235 } } ©M.S.Ramaiah School Of Advanced Studies 22
  • 23. PEMP VSD531 Technology rule basics Cont.. Table Look up (TLU) TLUPlus models are a set of CapTable and ResModel generated by grdgenxo (Start- RCXT) from ITF or provided by the foundry. It contains unit wire caps at different spacing and widths and unit layer resistance to allow extraction engine look up appropriate values during the extraction. The output of TLUPlus file will be a binary file. • Units of pf and micron (for both ITF and technology files) • Nominal operating condition (for CapTable names only) • Table dimension 5x16 (width vs. spacing) • Grid points are multiples of minimum width and spacing values ©M.S.Ramaiah School Of Advanced Studies 23
  • 24. PEMP VSD531 Technology rule basics Cont.. Benefits • Single process description can be used at design and verification stages • Advanced process effects such as metal fill and conformal dielectrics, are directly taken into account in the CapTable generation of TLUPlus • TLUPlus uses more accurate engine to create the cap table than capGen which was used in TLU • Quicker timing closure and quicker time to market ©M.S.Ramaiah School Of Advanced Studies 24
  • 25. PEMP VSD531 Technology rule basics Cont.. Interconnect Technology Files (ITF) ITF stands for interconnect technology format. It models advanced processing information like width-and-spacing dependent RPSQ/Etch, temperature coefficients of the second order, which the traditional technology file does not have. comboITF, which is the combination of min/nom/max ITF, cap unit of the CapTable, res unit of the ResModel and mapping file, will provide a means for extraction to calculate RC according to the same ResModel presented in the ITF ©M.S.Ramaiah School Of Advanced Studies 25
  • 26. PEMP VSD531 Technology rule basics Cont.. Cell Library Format File (CLF) CLF file function descriptions for cell definition functions used by place and route tool. Cell Definition Functions: The purpose of a CLF file is to provide timing information for timing driven place and route. The CLF file provides Astro with information about cells in the library. The Synopsys application uses this information during • Pin and blockage extraction • Pad placement • Clock tree synthesis ©M.S.Ramaiah School Of Advanced Studies 26
  • 27. PEMP VSD531 Digital Standard Cell Library Deliverables N Type Description .doc, .txt Databook / User guide, Layer usage file .sdb, .slib Symbols .db, .lib Synthesis .v Verilog simulation models .vhd VHDL / Vital simulation models .sp HSPICE netlists .rcx Extracted RC netlists for different corners .gds GDSII layout views .drc, .lvs, .erc Report files .lef LEF files .fram, .cel Fram views, layout views and runset files .plib Physical compiler views ©M.S.Ramaiah School Of Advanced Studies 27
  • 28. PEMP VSD531 Physical structure of digital cell VDD The selection of physical structure of digital cell is aimed at providing maximum cell density in W1 digital designs. It is more important to provide minimal area for the most frequently used cells. In general, these are usually NAND cells with two inputs, and D flip-flops W4 Minimum center-to-center distance W3 W2 H dtrack W1 VSS d1 d2 Minimum spacing Minimum width ©M.S.Ramaiah School Of Advanced Studies 28
  • 29. PEMP VSD531 Physical structure of double height digital cell VDD H W1 Symbol VDD W5 Parameter Value L W4 H W3 Cell height 2.88 um W2 H Power rail width W1 0.16 um Vertical grid W2 0.32 um W1 Horizontal grid W3 0.32 um VS S NWell height W4 1.68 um W2 W3 H W5 W4 VDDH to VDDL height (Fig. 8.3) 0.72 um W1 VDD H 29 ©M.S.Ramaiah School Of Advanced Studies
  • 30. PEMP VSD531 Processing Cells Activity Flow ©M.S.Ramaiah School Of Advanced Studies 30
  • 31. PEMP VSD531 Data Preparation Using Milkyway The Milkyway Environment ©M.S.Ramaiah School Of Advanced Studies 31
  • 32. PEMP VSD531 Data Preparation Using Milkyway Cont… The Milkyway and the data preparation functions can perform several tasks essential for cell library and data preparation, including the following: • Creating cell libraries • Importing cell data • Specifying technology information • Writing technology information to a file • Removing cell hierarchy • Specifying power and ground port types • Optimizing the standard cell layout • Extracting pin and blockage information • Setting place and route boundaries • Defining wire tracks ©M.S.Ramaiah School Of Advanced Studies 32
  • 33. PEMP VSD531 Data Preparation Using Milkyway Cont… Flow for Creating a Milkyway Library From LEF ©M.S.Ramaiah School Of Advanced Studies 33
  • 34. PEMP VSD531 Data Preparation Using Milkyway Cont… Standard Cells wire tracks ©M.S.Ramaiah School Of Advanced Studies 34
  • 35. PEMP VSD531 Data Preparation Using Milkyway Cont… Place and Route Boundary ©M.S.Ramaiah School Of Advanced Studies 35
  • 36. PEMP VSD531 Data Preparation Using Milkyway Cont… Flow for Importing and Exporting a DEF File ©M.S.Ramaiah School Of Advanced Studies 36
  • 37. PEMP VSD531 Design Constraints Design constraints are ASIC design specifications that are applied during logic and physical synthesis. Each tool attempts to meet two general design constraints • Timing constraints • Design rule constraints Timing constraints are user-specified and are related to speed, area, and the power consumption of the ASIC design. Timing constraints utilized by physical design tools are performance related.The most basic timing constraints are as follows • System clock definition and clock delays • Multiple cycle paths • Input and output delays • Minimum and maximum path delays • Input transition and output load capacitance • False paths ©M.S.Ramaiah School Of Advanced Studies 37
  • 38. PEMP VSD531 Timing Constraints Cont.. Multiple cycle paths Multiple cycle paths are for ASIC designs that have a non-single cycle clock timing requirement. This directs the physical design tools to avoid optimization of data paths that have non-single clock behavior. Input and output delays Input and output delays are used to constrain the boundary of external paths in an ASIC design. These constraints specify point-to-point delays from external inputs to the first registers and from registers to the outputs of an ASIC design. Minimum and maximum path delays Minimum and maximum path delays provide greater flexibility for physical synthesis tools that have a point-to-point optimization capability. This means that one can specify timing constraints from one specific point (e.g. pin or port) in the ASIC design to another, provided such a path exists between the two specified points ©M.S.Ramaiah School Of Advanced Studies 38
  • 39. PEMP VSD531 Timing Constraints Cont.. Input transition and output load capacitance Input transition and output capacitance loads are used to constrain the input slew rate and output capacitance of an ASIC device input and output pins. These constraints have a direct effect on the final ASIC design timing. False paths False paths are used to specify point-to-point non-critical timing either internal or external to an ASIC design. Properly identifying these non critical timing paths has a great impact on physical design tools’ performance. ©M.S.Ramaiah School Of Advanced Studies 39
  • 40. PEMP VSD531 Design Rule Constraints Design rule constraints are imposed upon ASIC designs by requirements specified in a given standard cell library or within physical design tools. Design rule constraints have precedence over timing constraints because they have to be met in order to realize a functional ASIC design. There are four types of major design rule constraints • Maximum number of fan-outs • Maximum transitions • Maximum capacitance • Maximum wire length ©M.S.Ramaiah School Of Advanced Studies 40
  • 41. PEMP VSD531 Design Rule Constraints Cont.. Maximum number of fan-outs Maximum number of fan-outs specify the number of destinations that one cell can connect to for each standard cell in the library. This constraint can also be applied at the ASIC design level during the physical synthesis to control the number of connections one cell can make. Maximum transition constraint Maximum transition constraint is the maximum allowable input transitions for each individual cell in the standard cell library. Apart from each element in the standard cell library, this constraint can be applied to a specific net or to an entire ASIC design. ©M.S.Ramaiah School Of Advanced Studies 41
  • 42. PEMP VSD531 Design Rule Constraints Cont.. Maximum capacitance constraint Maximum capacitance constraint behaves similarly to maximum transition constraint, but the cost is based on the total capacitance that a particular standard cell can drive any interconnection in the ASIC design. It should be noted that this constraint is fully independent of maximum transition, and therefore, it can be used in conjunction with maximum transition. Maximum wire length constraint Maximum wire length constraint is useful for controlling the length of wire to reduce the possibility of two parallel long wires of the same type. Parallel long wires of the same type may have a negative impact on the noise injection and may cause crosstalk. ©M.S.Ramaiah School Of Advanced Studies 42
  • 43. PEMP VSD531 Detailed Physical Design Flow Design Specs Fnl. Design • Architectural optimization (timing) • Inter-group buses, bandwidth • Clock, SI, test; validation Synthesis Constraints Lib.+CWLM Floor-plan & PG • Floorplanning and custom WLM Lib.+CWLM • Power distribution (Internal, I/O) Placement • I/O driver, padring design • Board-level timing, SI Physical re-synth • Row definitions • Placement of cells Clock distribution • Congestion analysis Route, scan re-order • Placement-based re-synthesis • Noise minimization, isolation Timing analysis, IPO • Clock distribution Fnl., pwr., SI ECO • Full routing • Scan stitching, re-ordering Reqmts. ERC, DRC, LVS • Full RC back-annotation • Hierarchical timing, electrical and SI analysis and Tape-out ©M.S.Ramaiah School Of Advanced Studies IPO/ECO 43
  • 44. PEMP VSD531 Session Summary • Technology, ckt description, timing and design constraint file are the basic input for the physical design • The format in which the digital libraries are supplied as a design kit in the suitable format wrt EDA tools are studied • Besides being the name for the data preparation tool, Milkyway is the name for the unified database the tool interacts with. • Libraries contain information about design cells, standard cells, macro cells, and so on. They contain physical descriptions, such as metal, diffusion, and polygon geometries. Libraries also contain logical information (functionality and timing characteristics) for every cell in the library. ©M.S.Ramaiah School Of Advanced Studies 44