SlideShare une entreprise Scribd logo
1  sur  17
Topographical Synthesis


        Shankardas Deepti Bharat
                CGB0911002
                   VSD 532
  M.Sc. [Engg.] in VLSI System Design

Module Title: Full Chip Functional Verification
     Module Leader: Mr. Padmanaban K.




           M. S. Ramaiah School of Advanced Studies   1
Contents

•   Introduction

•   ASIC design flow

•   Topographical synthesis

•   Design compiler graphical

•   Key benefits of Topographical synthesis

•   Congestion

•   Advanced Arithmetic Optimization

•   Register retiming

•   Conclusion

•   References




                        M. S. Ramaiah School of Advanced Studies   2
Introduction

•   Traditionally congestion is analyzed and fixed only during the last stage of
    design i.e. during P&R.

•   Today this method is inefficient, as designer may be required to iterate back
    to the RTL and recode the RTL source to remove congestion-causing design
    characteristics.

•   This iterative process between synthesis & layout is time consuming.

•   These options are not optimal and can lead to missed schedules, missed
    design goals and result in added costs.




                              Figure 1. ASIC flow
                              M. S. Ramaiah School of Advanced Studies              3
ASIC design flow

Specifications


    HDL


  Functional
  verification


  Synthesis


     STA                                Topographical
                                          synthesis

     DFT


   Timing                                  Back end
 verification

        M. S. Ramaiah School of Advanced Studies        4
Topographical synthesis


•   Synopsys incorporated topographical synthesis technology into DC in 2005

•   Used to accurately predicts timing, area and power.

•   Ensures synthesis output correlates to actual layout.

•   Reduces the number of iterations required to close design goals eliminating
    the need for wire load models.

•   Early prediction of routing congestion and visualization of congestion hot
    spots and timing issues.

•   Allows RTL designers to fix design issues early, cutting time and improving
    scaling predictability.




                               M. S. Ramaiah School of Advanced Studies           5
Design Compiler Graphical


•   DC graphical provides the designer to preview layouts to decide on whether
    congestion is due to RTL structures or due to bad floor planning.

•   Includes Synopsys’ virtual global-routing technology that enables designers to
    predict wire-routing congestion during RTL synthesis.

•   Predicts congestion "hot spots" early in the design flow.

•   Provides visualization and analysis of the congested circuit regions.

•   Performs synthesis optimizations to minimize congestion in these areas.

•   Provides significant improvement in design time.




                              M. S. Ramaiah School of Advanced Studies               6
Key benefits of Topographical Technology (1/2)

•   Delivers best Quality of Results (QoR) in terms of area, timing, power and
    test Correlated to physical implementation.

•   Removes timing bottlenecks by creating fast critical paths.

•   Offers more flexibility for users to control optimization on specific areas of
    designs.

•   Distributed synthesis with automated chip synthesis.

•   Enables higher efficiency with integrated static timing analysis, test synthesis
    and power synthesis.

•   Support for multi voltage and multi supply.




                             M. S. Ramaiah School of Advanced Studies                  7
Key benefits of Topographical Technology (2/2)


•   Designers fix real design issues while still in synthesis and generate a better start
    point for physical design, eliminating costly iterations.

•   Designed for RTL designers and requires no physical design expertise or changes to
    the synthesis use model.

•   Delivers accurate correlation to post-layout timing, area and power without the
    need for WLM.




                               Figure 2. DC Ultra synthesis [1]

                                M. S. Ramaiah School of Advanced Studies                    8
Congestion


•   Routing congestion occurs when the resources (tracks) needed to route a design
    exceed the available resources.

•   Generates a routing-friendly net list topology that minimizes highly-congested
    structures and wire crossings in congested areas.




Congestion prediction
                                  Figure 3. DC graphical results [2]


                               M. S. Ramaiah School of Advanced Studies              9
Advanced Arithmetic Optimization

• To minimize performance and area impact of carry propagation, arithmetic
  trees in the HDL are optimized using carry-save arithmetic techniques.




                           Figure 4. Arithmetic optimization [2]

                             M. S. Ramaiah School of Advanced Studies        10
Powerful Critical Path Synthesis

•   Performs aggressive timing driven re-structuring, mapping and gate-level
    optimization.

•   Logic duplication for reducing the load seen by the critical path.

•   Buffer high fan out nets to improve total negative slack.




                     Figure 5. Register duplication [1]

                             M. S. Ramaiah School of Advanced Studies          11
Register Retiming

  •   Performs optimization of sequential logic by moving registers through logic
      boundaries to optimize timing with minimum area impact.

  •   Inserts pipelines registers in pure combinational circuits in order to meet
      performance and area requirements.

  •   Used along with datapath optimization algorithms.

  •   All these are performed in order to improve QoR.




Figure 6. Retiming designs with registers [1]    Figure 7. Retiming on combinational logic [1]

                              M. S. Ramaiah School of Advanced Studies                    12
Other Advantages

•   Better Control of Synthesis Cost-Function Priorities and Optimization Step
    It has a default cost function that prioritizes design rule requirements over timing and
    area constraints.
•   Infrastructure for Multicore
    Using an optimized scheme of distributed &
    multithreaded parallelization, which




                                                    # of days
    delivers 2X improvement in runtime on
    quad-core platforms.
•   Supports all popular industry
    standards formats
    Circuit Netlist: Verilog, SystemVerilog & VHDL.                         Gate count

                                                  Figure 8. Single core vs. Multi core runtimes [2]



                                 M. S. Ramaiah School of Advanced Studies                      13
Summary

•   Includes comprehensive optimization algorithms to deliver best-in-class
    quality of results.
•   The Topographical technology ensures results that correlate to layout,
    eliminating costly iterations between synthesis and physical implementation.
•   It remains to be the synthesis tool of choice with its advanced feature set and
    a proven track record of countless design successes.
•   It provides the ability to accurately predict, visualize and alleviate routing
    congestion, substantially reducing iterations between synthesis and physical
    implementation.




                               M. S. Ramaiah School of Advanced Studies               14
References


[1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from
    <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D
    ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012
[2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from <
    http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag
    es/default.aspx>Retrieved on 26th Feb 2012




                              M. S. Ramaiah School of Advanced Studies            15
Thank You




M. S. Ramaiah School of Advanced Studies   16
Remarks



Sl. No.              Topic                    Max. marks            Marks
                                                                   obtained
  1            Quality of slides                     5
  2            Clarity of subject                    5
  3              Presentation                        5
  4       Effort and question handling               5
                Total                               20




                        M. S. Ramaiah School of Advanced Studies              17

Contenu connexe

Tendances

Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docsRajesh M
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2SUNODH GARLAPATI
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptxShivangPanara
 

Tendances (20)

Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
Physical design
Physical design Physical design
Physical design
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 

En vedette (12)

Vlsi
VlsiVlsi
Vlsi
 
MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
 
Sodc 1 Introduction
Sodc 1 IntroductionSodc 1 Introduction
Sodc 1 Introduction
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic design
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Flipflop
FlipflopFlipflop
Flipflop
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Synthesis Examples
Synthesis ExamplesSynthesis Examples
Synthesis Examples
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for students
 

Similaire à Topograhical synthesis

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingSudhanshu Janwadkar
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designShaelMalik
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016srkkakarla
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...University of Maribor
 
Post Processing
Post Processing Post Processing
Post Processing KTN
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET Journal
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Alessio Bucaioni
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signallingKrishna Kumar
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthDeepak Shankar
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The SupercomputerAnkit Singh
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez DataWorks Summit
 

Similaire à Topograhical synthesis (20)

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable Computing
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit design
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012 Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
Post Processing
Post Processing Post Processing
Post Processing
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
 
defense_PPT
defense_PPTdefense_PPT
defense_PPT
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidth
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
Univa Presentation at DAC 2020
Univa Presentation at DAC 2020 Univa Presentation at DAC 2020
Univa Presentation at DAC 2020
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez
 
CAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based SystemsCAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based Systems
 
Uzair's CV
Uzair's CVUzair's CV
Uzair's CV
 

Plus de Deiptii Das

Organic transistors
Organic transistorsOrganic transistors
Organic transistorsDeiptii Das
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage ReferenceDeiptii Das
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significanceDeiptii Das
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor propertiesDeiptii Das
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 

Plus de Deiptii Das (7)

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 

Dernier

Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpinRaunakKeshri1
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphThiyagu K
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...Sapna Thakur
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxVishalSingh1417
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Disha Kariya
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfchloefrazer622
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024Janet Corral
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 

Dernier (20)

Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpin
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdf
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 

Topograhical synthesis

  • 1. Topographical Synthesis Shankardas Deepti Bharat CGB0911002 VSD 532 M.Sc. [Engg.] in VLSI System Design Module Title: Full Chip Functional Verification Module Leader: Mr. Padmanaban K. M. S. Ramaiah School of Advanced Studies 1
  • 2. Contents • Introduction • ASIC design flow • Topographical synthesis • Design compiler graphical • Key benefits of Topographical synthesis • Congestion • Advanced Arithmetic Optimization • Register retiming • Conclusion • References M. S. Ramaiah School of Advanced Studies 2
  • 3. Introduction • Traditionally congestion is analyzed and fixed only during the last stage of design i.e. during P&R. • Today this method is inefficient, as designer may be required to iterate back to the RTL and recode the RTL source to remove congestion-causing design characteristics. • This iterative process between synthesis & layout is time consuming. • These options are not optimal and can lead to missed schedules, missed design goals and result in added costs. Figure 1. ASIC flow M. S. Ramaiah School of Advanced Studies 3
  • 4. ASIC design flow Specifications HDL Functional verification Synthesis STA Topographical synthesis DFT Timing Back end verification M. S. Ramaiah School of Advanced Studies 4
  • 5. Topographical synthesis • Synopsys incorporated topographical synthesis technology into DC in 2005 • Used to accurately predicts timing, area and power. • Ensures synthesis output correlates to actual layout. • Reduces the number of iterations required to close design goals eliminating the need for wire load models. • Early prediction of routing congestion and visualization of congestion hot spots and timing issues. • Allows RTL designers to fix design issues early, cutting time and improving scaling predictability. M. S. Ramaiah School of Advanced Studies 5
  • 6. Design Compiler Graphical • DC graphical provides the designer to preview layouts to decide on whether congestion is due to RTL structures or due to bad floor planning. • Includes Synopsys’ virtual global-routing technology that enables designers to predict wire-routing congestion during RTL synthesis. • Predicts congestion "hot spots" early in the design flow. • Provides visualization and analysis of the congested circuit regions. • Performs synthesis optimizations to minimize congestion in these areas. • Provides significant improvement in design time. M. S. Ramaiah School of Advanced Studies 6
  • 7. Key benefits of Topographical Technology (1/2) • Delivers best Quality of Results (QoR) in terms of area, timing, power and test Correlated to physical implementation. • Removes timing bottlenecks by creating fast critical paths. • Offers more flexibility for users to control optimization on specific areas of designs. • Distributed synthesis with automated chip synthesis. • Enables higher efficiency with integrated static timing analysis, test synthesis and power synthesis. • Support for multi voltage and multi supply. M. S. Ramaiah School of Advanced Studies 7
  • 8. Key benefits of Topographical Technology (2/2) • Designers fix real design issues while still in synthesis and generate a better start point for physical design, eliminating costly iterations. • Designed for RTL designers and requires no physical design expertise or changes to the synthesis use model. • Delivers accurate correlation to post-layout timing, area and power without the need for WLM. Figure 2. DC Ultra synthesis [1] M. S. Ramaiah School of Advanced Studies 8
  • 9. Congestion • Routing congestion occurs when the resources (tracks) needed to route a design exceed the available resources. • Generates a routing-friendly net list topology that minimizes highly-congested structures and wire crossings in congested areas. Congestion prediction Figure 3. DC graphical results [2] M. S. Ramaiah School of Advanced Studies 9
  • 10. Advanced Arithmetic Optimization • To minimize performance and area impact of carry propagation, arithmetic trees in the HDL are optimized using carry-save arithmetic techniques. Figure 4. Arithmetic optimization [2] M. S. Ramaiah School of Advanced Studies 10
  • 11. Powerful Critical Path Synthesis • Performs aggressive timing driven re-structuring, mapping and gate-level optimization. • Logic duplication for reducing the load seen by the critical path. • Buffer high fan out nets to improve total negative slack. Figure 5. Register duplication [1] M. S. Ramaiah School of Advanced Studies 11
  • 12. Register Retiming • Performs optimization of sequential logic by moving registers through logic boundaries to optimize timing with minimum area impact. • Inserts pipelines registers in pure combinational circuits in order to meet performance and area requirements. • Used along with datapath optimization algorithms. • All these are performed in order to improve QoR. Figure 6. Retiming designs with registers [1] Figure 7. Retiming on combinational logic [1] M. S. Ramaiah School of Advanced Studies 12
  • 13. Other Advantages • Better Control of Synthesis Cost-Function Priorities and Optimization Step It has a default cost function that prioritizes design rule requirements over timing and area constraints. • Infrastructure for Multicore Using an optimized scheme of distributed & multithreaded parallelization, which # of days delivers 2X improvement in runtime on quad-core platforms. • Supports all popular industry standards formats Circuit Netlist: Verilog, SystemVerilog & VHDL. Gate count Figure 8. Single core vs. Multi core runtimes [2] M. S. Ramaiah School of Advanced Studies 13
  • 14. Summary • Includes comprehensive optimization algorithms to deliver best-in-class quality of results. • The Topographical technology ensures results that correlate to layout, eliminating costly iterations between synthesis and physical implementation. • It remains to be the synthesis tool of choice with its advanced feature set and a proven track record of countless design successes. • It provides the ability to accurately predict, visualize and alleviate routing congestion, substantially reducing iterations between synthesis and physical implementation. M. S. Ramaiah School of Advanced Studies 14
  • 15. References [1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012 [2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from < http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag es/default.aspx>Retrieved on 26th Feb 2012 M. S. Ramaiah School of Advanced Studies 15
  • 16. Thank You M. S. Ramaiah School of Advanced Studies 16
  • 17. Remarks Sl. No. Topic Max. marks Marks obtained 1 Quality of slides 5 2 Clarity of subject 5 3 Presentation 5 4 Effort and question handling 5 Total 20 M. S. Ramaiah School of Advanced Studies 17