SlideShare une entreprise Scribd logo
1  sur  12
12	
  
                                                               	
  
                                                               	
  
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                              ODD	
  
                                                               	
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                         SEMESTER	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
                                                               	
  
LOGIC	
  DESIGN-­‐3-­‐CLASS	
  NOTES	
  –	
  UNIT8	
           	
  
                                                               	
  
                                                               	
  
                                                               	
  
             	
  
Shivoo	
  Koteshwar	
  
Professor,	
  E&C	
  Department,	
  PESIT	
  SC	
  	
  
	
  
Sequential	
  Design	
  2	
  
                           • Construction	
  of	
  State	
  Diagrams	
  -­‐	
  Up-­‐Down	
  Decade	
  Counter,	
  Sequence	
  Detectors,	
  
                                                     Serial	
  EX-­‐3	
  to	
  BCD	
  Code	
  Converter	
  
                           • Counter	
  Design	
  -­‐	
  Modulo	
  8	
  Synchronous	
  Counter,	
  Up	
  Down	
  Decade	
  Counter	
  	
  
	
  
Reference	
  Books:	
  
                           • Digital	
  Logic	
  Applications	
  and	
  Design”,	
  John	
  M	
  Yarbrough,	
  Thomson	
  Learning,	
  2001	
  
                           • “Logic	
  and	
  computer	
  design	
  Fundamentals”,	
  Mono	
  and	
  Kim,	
  Pearson,	
  Second	
  
                                                     edition,	
  2001	
  
	
  
Unit	
  8:	
  	
  
Sequential	
  Design	
  -­‐	
  II:	
  	
  Construction	
  of	
  state	
  Diagrams,	
  Counter	
  Design	
  [(Text	
  book	
  1)	
  6.4,	
  
6.5]	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  
	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  
6	
  Hours	
  	
  	
  	
  
	
  



P e o p l e s 	
   E d u c a t i o n 	
   S o c i e t y 	
   S o u t h 	
   C a m p u s 	
   ( w w w . p e s . e d u ) 	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
Sequence Detector:
When we design sequence detectors, the most important design aspect is
whether the detector is overlapping or non-overlapping. By default we
always assume it’s overlapping.

In the overlapping sequence detector, previous bits of already detected
sequence can be a part of the new sequence.




TIP:
When you are checking where the arc should go while drawing the state
diagrams, follow these 5 rules:
   1. Look at the previous bit and present bit only
   2. See if this combination of 2 bits is a part of the sequence (First 2 bits
      of the sequence from left). If yes, terminate the arc at this third
      bubble
   3. If the combination of 2 bits is not a part of the sequence, check for
      the present bit and see if it’s a part of the sequence (First bit of the
      sequence from left). If yes, terminate the arc at the second bubble
   4. If its not meeting these two conditions, then the arc will terminate at
      the first bubble
   5. Usually any arc from any bubble will go to either the first bubble,
      second or third unless we have a sequence of 1s or 0s to be detected




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  2	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
                                                                                    Sequence Detector Design 1
Question: Design a circuit that detects a sequence of three or more
consecutive 1s in a string of bits coming through an input line using a
Moore and Mealy model

Solution: Reading the requirement, we can rewrite the specification in a
simpler way as below:
   • Sequence: 111
   • Overlapping (By default always assume its overlapping)
   • Moore and Mealy
   • Assumption: Input data sequence is coming from the left

                                                                                                                                          MEALY
STEP1:
For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states).
Here the sequence is 111 so the sequence length is 3 so write 3 bubbles




STEP2:
Fill the sequence-detected state




STEP3:
Fill the remaining input combinations

             • At A, when you get a 0, 0 is not a part of the sequence so it stays at A
             • At B, when you get a 0, 10 is not a part of the sequence and 0 is also
               not a part of a sequence so it goes back to A
             • At C, when you get a 0, 10 is not a part of the sequence and 0 is also
               not a part of a sequence so it goes back to A
             • At C, when you get a 1, output is 1 as it has detected the sequence
               111. It stays at C as the previous state and present state is 11 which is
               a part of the next sequence




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  3	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  




Write the State Table:




Lets implement with D-Flip-Flop. Encode the states and re-write the State
Table. DaDb will be same as NS in D Flip-Flop implementation




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  4	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  




                                                                                                                                        MOORE
STEP1:
For a Moore circuit, if the sequence length is “n”, write “n+1” bubbles
(states). Here the sequence is 111 so the sequence length is 3 so write 4
bubbles




STEP2:
Fill the sequence-detected state




STEP3:
Fill the remaining input combinations
	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  5	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
             • At A, when you get a 0, 0 is not a part of the sequence so it stays at A
             • At B, when you get a 0, 10 is not a part of the sequence and 0 is also
               not a part of a sequence so it goes back to A
             • At C, when you get a 0, 10 is not a part of the sequence and 0 is also
               not a part of a sequence so it goes back to A
             • At D, when you get a 0, 10 is not a part of the sequence and 0 is also
               not a part of a sequence so it goes back to A
             • At D, when you get a 1, the next sequence is already detected as
               previously it had 11 so the output should be 1 which means it should
               stay at D (Where the output is always 1)




Write the State Table:




Lets implement with D-Flip-Flop. Encode the states and re-write the State
Table. DaDb will be same as NS in D Flip-Flop implementation




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  6	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  7	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
                                                                                    Sequence Detector Design 2
Question:	
  The network will examine a string of 0’s and 1’s applied to the X
input and generate an output Z=1 only when a prescribed input sequence
occurs. It will be assumed that the input X can only change between clock
pulses. Design a network so that any input sequence ending with 101 will
produce an output Z=1

Solution: Reading the requirement, we can rewrite the specification in a
simpler way as below:
   • Sequence is 101
   • Sequential Design
   • Overlapping
   • Mealy and Moore
   • Assumption: Input data sequence is coming from the left

                                                                                                                                                       MEALY
STEP1:
For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states).
Here the sequence is 101 so the sequence length is 3 so write 3 bubbles




STEP2:
Fill the sequence-detected state




STEP3:
Fill the remaining input combinations
    1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A
    2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part
        of the sequence and it’s the first bit of the sequence, so it will stay at
        B
    3. At C, when you get a 1, the sequence is detected so the output goes
        to 1. 01 is not a part of the sequence but 1 is a part of the sequence
        and it’s the first bit of the sequence, so it will go at B

	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  8	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
             4. At C, when you get a 0, 00 is not a part of the sequence and 0 is also
                not a part of the sequence so it goes to A




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  9	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  


                                                                                                                                                    MOORE
STEP1:
For a Moore circuit, if the sequence length is “n”, write “n+1” bubbles
(states). Here the sequence is 101 so the sequence length is 3 so write 4
bubbles




STEP2:
Fill the sequence-detected state




STEP3:
Fill the remaining input combinations
    1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A
    2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part
        of the sequence and it’s the first bit of the sequence, so it will stay at
        B
    3. At C, when you get a 0, 00 is not a part of the sequence and 0 is also
        not a part of the sequence so it goes to A
    4. At D, when you get a 0, 10 is a part of the sequence and it is 2 bits of
        the sequence so it goes to C
    5. At D, when you get a 1, 11 is not a part of the sequence but 1 is a
        part of the sequence and it’s the first bit of the sequence, so it will go
        to B




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  10	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
                                                                                   Sequence Detector Design 3
Question: Construct a Mealy state diagram that will detect input sequence
of 10110. The detection of the required bit pattern can occur in a longer
data string and the correct pattern can overlap with another pattern. When
the input patterns has been detected, cause an output z to be asserted high

Solution: Reading the requirement, we can rewrite the specification in a
simpler way as below:
   • Mealy state diagram
   • Sequence: 10110
   • Overlapping
   • Output z when sequence is detected
   • Assumption: Input data sequence is coming from the left


                                                                                                                                         MEALY
STEP1:
For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states).
Here the sequence is 10110 so the sequence length is 5 so write 5 bubbles




STEP2:
Fill the sequence-detected state




STEP3:
Fill the remaining input combinations
    1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A
    2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part
        of the sequence and it’s the first bit of the sequence, so it will stay at
        B
    3. At C, when you get a 0, 00 is not a part of the sequence so it goes
        back to A
    4. At D, when you get a 0, 10 is a part of the sequence and it is 2 bits of
        the sequence so it goes to C
    5. At E, when you get a 0, the sequence is detected and the output is 1.
        10 is a part of the sequence and it is 2 bits of the sequence so it goes
        to C


	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  11	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  	
  	
  	
  
Logic	
  Design	
  (3rd	
  Semester)	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  UNIT	
  8	
  Notes	
  v1.0	
  
                                                                                                                       	
  
             6. At E, when you get a 1, 11 is not a part of the sequence but 1 is a part
                of the sequence and it’s the first bit of the sequence, so it will go to B




	
  
  Shivoo	
  Koteshwar’s	
  Notes	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  12	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  	
  shivoo@pes.edu	
  
                                                                                                                    	
  	
  	
  	
  	
  	
  

Contenu connexe

Tendances

VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
Contamination delay
Contamination delayContamination delay
Contamination delayNima Afraz
 
Digital Modulation Unit 3
Digital Modulation Unit 3Digital Modulation Unit 3
Digital Modulation Unit 3Anil Nigam
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functionsanand hd
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLVishesh Thakur
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAAzhar Syed
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
 
FPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIESFPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIESrevathilakshmi2
 
Bascom avr-course
Bascom avr-courseBascom avr-course
Bascom avr-coursehandson28
 
Decimation in time and frequency
Decimation in time and frequencyDecimation in time and frequency
Decimation in time and frequencySARITHA REDDY
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsVinoth Loganathan
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4Dr.YNM
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clockNallapati Anindra
 

Tendances (20)

Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Contamination delay
Contamination delayContamination delay
Contamination delay
 
Digital Modulation Unit 3
Digital Modulation Unit 3Digital Modulation Unit 3
Digital Modulation Unit 3
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
Unit v. HDL Synthesis Process
Unit v. HDL Synthesis ProcessUnit v. HDL Synthesis Process
Unit v. HDL Synthesis Process
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
 
Data types in verilog
Data types in verilogData types in verilog
Data types in verilog
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGA
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 
FPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIESFPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIES
 
Bascom avr-course
Bascom avr-courseBascom avr-course
Bascom avr-course
 
Decimation in time and frequency
Decimation in time and frequencyDecimation in time and frequency
Decimation in time and frequency
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital Electronics
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
Synchronization
SynchronizationSynchronization
Synchronization
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
funnel shifter
funnel shifterfunnel shifter
funnel shifter
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 

En vedette

Mealy state machine
Mealy state machineMealy state machine
Mealy state machineArif Siyal
 
Cs6503 theory of computation november december 2015 be cse anna university q...
Cs6503 theory of computation november december 2015  be cse anna university q...Cs6503 theory of computation november december 2015  be cse anna university q...
Cs6503 theory of computation november december 2015 be cse anna university q...appasami
 
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT Kanpur
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT KanpurMid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT Kanpur
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT KanpurVivekananda Samiti
 
Proyecto región marina suroeste GCII
Proyecto región marina suroeste GCIIProyecto región marina suroeste GCII
Proyecto región marina suroeste GCIIIrving1601
 
Good and bad effect of television
Good and bad effect of televisionGood and bad effect of television
Good and bad effect of televisionshaikhbushra
 
Economía e instituciones - Una mirada Objetiva
Economía e instituciones - Una mirada ObjetivaEconomía e instituciones - Una mirada Objetiva
Economía e instituciones - Una mirada Objetivamario171985
 
Ecommerce Business Models Jlsynave
Ecommerce Business Models JlsynaveEcommerce Business Models Jlsynave
Ecommerce Business Models JlsynaveTechnofutur TIC
 
Television broadcasting by fateha
Television broadcasting by fatehaTelevision broadcasting by fateha
Television broadcasting by fatehahaverstockmedia
 
Characteristics Of Life
Characteristics Of LifeCharacteristics Of Life
Characteristics Of LifeScott Stevens
 
Bob's discount furniture and the american red cross to launch scholarship pro...
Bob's discount furniture and the american red cross to launch scholarship pro...Bob's discount furniture and the american red cross to launch scholarship pro...
Bob's discount furniture and the american red cross to launch scholarship pro...Bob Smith
 
Última voluntad. Testamento y herencia.
Última voluntad. Testamento y herencia.Última voluntad. Testamento y herencia.
Última voluntad. Testamento y herencia.Carmen Garcia Sanchez
 

En vedette (20)

Mealy state machine
Mealy state machineMealy state machine
Mealy state machine
 
Cs6503 theory of computation november december 2015 be cse anna university q...
Cs6503 theory of computation november december 2015  be cse anna university q...Cs6503 theory of computation november december 2015  be cse anna university q...
Cs6503 theory of computation november december 2015 be cse anna university q...
 
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT Kanpur
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT KanpurMid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT Kanpur
Mid semexam | Theory of Computation | Akash Anand | MTH 401A | IIT Kanpur
 
Swedish house mafia
Swedish house mafiaSwedish house mafia
Swedish house mafia
 
Proyecto región marina suroeste GCII
Proyecto región marina suroeste GCIIProyecto región marina suroeste GCII
Proyecto región marina suroeste GCII
 
Good and bad effect of television
Good and bad effect of televisionGood and bad effect of television
Good and bad effect of television
 
Atraco en el rubio (1934) (2)
Atraco en el rubio (1934) (2)Atraco en el rubio (1934) (2)
Atraco en el rubio (1934) (2)
 
Economía e instituciones - Una mirada Objetiva
Economía e instituciones - Una mirada ObjetivaEconomía e instituciones - Una mirada Objetiva
Economía e instituciones - Una mirada Objetiva
 
一页纸经理人
一页纸经理人一页纸经理人
一页纸经理人
 
Ecommerce Business Models Jlsynave
Ecommerce Business Models JlsynaveEcommerce Business Models Jlsynave
Ecommerce Business Models Jlsynave
 
Television broadcasting by fateha
Television broadcasting by fatehaTelevision broadcasting by fateha
Television broadcasting by fateha
 
006.bobineros
006.bobineros006.bobineros
006.bobineros
 
Planes y programas
Planes y programasPlanes y programas
Planes y programas
 
Characteristics Of Life
Characteristics Of LifeCharacteristics Of Life
Characteristics Of Life
 
Care Vivienda Saludable
Care Vivienda SaludableCare Vivienda Saludable
Care Vivienda Saludable
 
Bob's discount furniture and the american red cross to launch scholarship pro...
Bob's discount furniture and the american red cross to launch scholarship pro...Bob's discount furniture and the american red cross to launch scholarship pro...
Bob's discount furniture and the american red cross to launch scholarship pro...
 
Valores "Amistad"
Valores "Amistad"Valores "Amistad"
Valores "Amistad"
 
Última voluntad. Testamento y herencia.
Última voluntad. Testamento y herencia.Última voluntad. Testamento y herencia.
Última voluntad. Testamento y herencia.
 
Week13
Week13Week13
Week13
 
Photobeam 01
Photobeam 01Photobeam 01
Photobeam 01
 

Similaire à 3Sem-Logic Design Notes-Unit8-Sequential Design

Tool Kit: Business Analysis product (artefact) checklist
Tool Kit: Business Analysis product (artefact) checklistTool Kit: Business Analysis product (artefact) checklist
Tool Kit: Business Analysis product (artefact) checklistdesigner DATA
 
처음 만져보는 Mac
처음 만져보는 Mac처음 만져보는 Mac
처음 만져보는 MacJinho Jung
 
Trahan stuart
Trahan stuartTrahan stuart
Trahan stuartNASAPMC
 
Software Quality Analysis with Alitheia Core
Software Quality Analysis with Alitheia CoreSoftware Quality Analysis with Alitheia Core
Software Quality Analysis with Alitheia CoreGeorgios Gousios
 
North Canton Master Plan Presentation 2
North Canton Master Plan Presentation 2North Canton Master Plan Presentation 2
North Canton Master Plan Presentation 2ksuCUDC
 
2012 French Presidential Election
2012 French Presidential Election2012 French Presidential Election
2012 French Presidential Electioningenia_pro
 
Spanish Prime Minister Rajoy Communication
Spanish Prime Minister Rajoy CommunicationSpanish Prime Minister Rajoy Communication
Spanish Prime Minister Rajoy Communicationingenia_pro
 
Spain Prime Minister Mariano Rajoy 360 Communication
Spain Prime Minister Mariano Rajoy 360 CommunicationSpain Prime Minister Mariano Rajoy 360 Communication
Spain Prime Minister Mariano Rajoy 360 CommunicationAleix Cuberes i Diaz
 
Plugged In Jan Mar 2010 Article
Plugged In Jan   Mar 2010 ArticlePlugged In Jan   Mar 2010 Article
Plugged In Jan Mar 2010 Articletyangell
 
Anexo ii zoneamento folha xii
Anexo ii zoneamento folha xiiAnexo ii zoneamento folha xii
Anexo ii zoneamento folha xiiAylce da Silva
 
Metro's in the making
Metro's in the makingMetro's in the making
Metro's in the makingSagar Agrawal
 
Boom startup overview
Boom startup overviewBoom startup overview
Boom startup overviewbjb84
 
Marcom Buzz September- October, 2012
Marcom Buzz September- October, 2012Marcom Buzz September- October, 2012
Marcom Buzz September- October, 2012marcombuzz
 
Art agregados naturais por agregados provenientes da reciclagem de entulho de...
Art agregados naturais por agregados provenientes da reciclagem de entulho de...Art agregados naturais por agregados provenientes da reciclagem de entulho de...
Art agregados naturais por agregados provenientes da reciclagem de entulho de...Petiano Camilo Bin
 
Capital Health
Capital HealthCapital Health
Capital Healthhgillismac
 
Creating A Lean Business System
Creating A Lean Business SystemCreating A Lean Business System
Creating A Lean Business SystemJillWhinfrey
 
Lean Business System
Lean Business SystemLean Business System
Lean Business Systemgrogans
 
Lean Business System
Lean Business SystemLean Business System
Lean Business Systemmunroc
 

Similaire à 3Sem-Logic Design Notes-Unit8-Sequential Design (20)

Tool Kit: Business Analysis product (artefact) checklist
Tool Kit: Business Analysis product (artefact) checklistTool Kit: Business Analysis product (artefact) checklist
Tool Kit: Business Analysis product (artefact) checklist
 
처음 만져보는 Mac
처음 만져보는 Mac처음 만져보는 Mac
처음 만져보는 Mac
 
Trahan stuart
Trahan stuartTrahan stuart
Trahan stuart
 
Software Quality Analysis with Alitheia Core
Software Quality Analysis with Alitheia CoreSoftware Quality Analysis with Alitheia Core
Software Quality Analysis with Alitheia Core
 
North Canton Master Plan Presentation 2
North Canton Master Plan Presentation 2North Canton Master Plan Presentation 2
North Canton Master Plan Presentation 2
 
2012 Presidential French Election
2012 Presidential French Election2012 Presidential French Election
2012 Presidential French Election
 
2012 French Presidential Election
2012 French Presidential Election2012 French Presidential Election
2012 French Presidential Election
 
Spanish Prime Minister Rajoy Communication
Spanish Prime Minister Rajoy CommunicationSpanish Prime Minister Rajoy Communication
Spanish Prime Minister Rajoy Communication
 
Spain Prime Minister Mariano Rajoy 360 Communication
Spain Prime Minister Mariano Rajoy 360 CommunicationSpain Prime Minister Mariano Rajoy 360 Communication
Spain Prime Minister Mariano Rajoy 360 Communication
 
Plugged In Jan Mar 2010 Article
Plugged In Jan   Mar 2010 ArticlePlugged In Jan   Mar 2010 Article
Plugged In Jan Mar 2010 Article
 
Anexo ii zoneamento folha xii
Anexo ii zoneamento folha xiiAnexo ii zoneamento folha xii
Anexo ii zoneamento folha xii
 
Metro's in the making
Metro's in the makingMetro's in the making
Metro's in the making
 
James and Wes
James and WesJames and Wes
James and Wes
 
Boom startup overview
Boom startup overviewBoom startup overview
Boom startup overview
 
Marcom Buzz September- October, 2012
Marcom Buzz September- October, 2012Marcom Buzz September- October, 2012
Marcom Buzz September- October, 2012
 
Art agregados naturais por agregados provenientes da reciclagem de entulho de...
Art agregados naturais por agregados provenientes da reciclagem de entulho de...Art agregados naturais por agregados provenientes da reciclagem de entulho de...
Art agregados naturais por agregados provenientes da reciclagem de entulho de...
 
Capital Health
Capital HealthCapital Health
Capital Health
 
Creating A Lean Business System
Creating A Lean Business SystemCreating A Lean Business System
Creating A Lean Business System
 
Lean Business System
Lean Business SystemLean Business System
Lean Business System
 
Lean Business System
Lean Business SystemLean Business System
Lean Business System
 

Plus de Dr. Shivananda Koteshwar

Role of a manager in cultural transformation
Role of a manager in cultural transformationRole of a manager in cultural transformation
Role of a manager in cultural transformationDr. Shivananda Koteshwar
 
Innovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterInnovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterDr. Shivananda Koteshwar
 
Introduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersIntroduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersDr. Shivananda Koteshwar
 
Understanding scale Clean tech and Agritech verticals
Understanding scale   Clean tech and Agritech verticalsUnderstanding scale   Clean tech and Agritech verticals
Understanding scale Clean tech and Agritech verticalsDr. Shivananda Koteshwar
 
IoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursIoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursDr. Shivananda Koteshwar
 
ASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesDr. Shivananda Koteshwar
 

Plus de Dr. Shivananda Koteshwar (20)

Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)
 
Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)
 
BELAKUBE METHODOLOGY
BELAKUBE METHODOLOGYBELAKUBE METHODOLOGY
BELAKUBE METHODOLOGY
 
Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22
 
Role of a manager in cultural transformation
Role of a manager in cultural transformationRole of a manager in cultural transformation
Role of a manager in cultural transformation
 
Social Entrepreneurship
Social EntrepreneurshipSocial Entrepreneurship
Social Entrepreneurship
 
Innovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterInnovation in GCC - Global Capability Center
Innovation in GCC - Global Capability Center
 
Corporate Expectation from a MBA Graduate
Corporate Expectation from a MBA GraduateCorporate Expectation from a MBA Graduate
Corporate Expectation from a MBA Graduate
 
Introduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersIntroduction to consultancy for MBA Freshers
Introduction to consultancy for MBA Freshers
 
Bachelor of Design (BDes)
Bachelor of Design (BDes)Bachelor of Design (BDes)
Bachelor of Design (BDes)
 
Understanding scale Clean tech and Agritech verticals
Understanding scale   Clean tech and Agritech verticalsUnderstanding scale   Clean tech and Agritech verticals
Understanding scale Clean tech and Agritech verticals
 
Evolution and Advancement in Chipsets
Evolution and Advancement in ChipsetsEvolution and Advancement in Chipsets
Evolution and Advancement in Chipsets
 
Ideation and validation - An exercise
Ideation and validation -  An exerciseIdeation and validation -  An exercise
Ideation and validation - An exercise
 
IoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursIoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneurs
 
ASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and Methodologies
 
IoT Product Design and Prototyping
IoT Product Design and PrototypingIoT Product Design and Prototyping
IoT Product Design and Prototyping
 
Business model
Business modelBusiness model
Business model
 
Engaging Today's kids
Engaging Today's kidsEngaging Today's kids
Engaging Today's kids
 
Nurturing Innovative Minds
Nurturing Innovative MindsNurturing Innovative Minds
Nurturing Innovative Minds
 
Creating those dots
Creating those dotsCreating those dots
Creating those dots
 

Dernier

URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
Micromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersMicromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersChitralekhaTherkar
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesFatimaKhan178732
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 

Dernier (20)

URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
Micromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of PowdersMicromeritics - Fundamental and Derived Properties of Powders
Micromeritics - Fundamental and Derived Properties of Powders
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and Actinides
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 

3Sem-Logic Design Notes-Unit8-Sequential Design

  • 1. 12       ODD     SEMESTER                                   LOGIC  DESIGN-­‐3-­‐CLASS  NOTES  –  UNIT8             Shivoo  Koteshwar   Professor,  E&C  Department,  PESIT  SC       Sequential  Design  2   • Construction  of  State  Diagrams  -­‐  Up-­‐Down  Decade  Counter,  Sequence  Detectors,   Serial  EX-­‐3  to  BCD  Code  Converter   • Counter  Design  -­‐  Modulo  8  Synchronous  Counter,  Up  Down  Decade  Counter       Reference  Books:   • Digital  Logic  Applications  and  Design”,  John  M  Yarbrough,  Thomson  Learning,  2001   • “Logic  and  computer  design  Fundamentals”,  Mono  and  Kim,  Pearson,  Second   edition,  2001     Unit  8:     Sequential  Design  -­‐  II:    Construction  of  state  Diagrams,  Counter  Design  [(Text  book  1)  6.4,   6.5]                                                                                                                                                                                                                                                                                                                                                                                                                       6  Hours           P e o p l e s   E d u c a t i o n   S o c i e t y   S o u t h   C a m p u s   ( w w w . p e s . e d u )  
  • 2. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     Sequence Detector: When we design sequence detectors, the most important design aspect is whether the detector is overlapping or non-overlapping. By default we always assume it’s overlapping. In the overlapping sequence detector, previous bits of already detected sequence can be a part of the new sequence. TIP: When you are checking where the arc should go while drawing the state diagrams, follow these 5 rules: 1. Look at the previous bit and present bit only 2. See if this combination of 2 bits is a part of the sequence (First 2 bits of the sequence from left). If yes, terminate the arc at this third bubble 3. If the combination of 2 bits is not a part of the sequence, check for the present bit and see if it’s a part of the sequence (First bit of the sequence from left). If yes, terminate the arc at the second bubble 4. If its not meeting these two conditions, then the arc will terminate at the first bubble 5. Usually any arc from any bubble will go to either the first bubble, second or third unless we have a sequence of 1s or 0s to be detected   Shivoo  Koteshwar’s  Notes                                          2                                                                                          shivoo@pes.edu        
  • 3. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     Sequence Detector Design 1 Question: Design a circuit that detects a sequence of three or more consecutive 1s in a string of bits coming through an input line using a Moore and Mealy model Solution: Reading the requirement, we can rewrite the specification in a simpler way as below: • Sequence: 111 • Overlapping (By default always assume its overlapping) • Moore and Mealy • Assumption: Input data sequence is coming from the left MEALY STEP1: For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states). Here the sequence is 111 so the sequence length is 3 so write 3 bubbles STEP2: Fill the sequence-detected state STEP3: Fill the remaining input combinations • At A, when you get a 0, 0 is not a part of the sequence so it stays at A • At B, when you get a 0, 10 is not a part of the sequence and 0 is also not a part of a sequence so it goes back to A • At C, when you get a 0, 10 is not a part of the sequence and 0 is also not a part of a sequence so it goes back to A • At C, when you get a 1, output is 1 as it has detected the sequence 111. It stays at C as the previous state and present state is 11 which is a part of the next sequence   Shivoo  Koteshwar’s  Notes                                          3                                                                                          shivoo@pes.edu        
  • 4. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     Write the State Table: Lets implement with D-Flip-Flop. Encode the states and re-write the State Table. DaDb will be same as NS in D Flip-Flop implementation   Shivoo  Koteshwar’s  Notes                                          4                                                                                          shivoo@pes.edu        
  • 5. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     MOORE STEP1: For a Moore circuit, if the sequence length is “n”, write “n+1” bubbles (states). Here the sequence is 111 so the sequence length is 3 so write 4 bubbles STEP2: Fill the sequence-detected state STEP3: Fill the remaining input combinations   Shivoo  Koteshwar’s  Notes                                          5                                                                                          shivoo@pes.edu        
  • 6. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     • At A, when you get a 0, 0 is not a part of the sequence so it stays at A • At B, when you get a 0, 10 is not a part of the sequence and 0 is also not a part of a sequence so it goes back to A • At C, when you get a 0, 10 is not a part of the sequence and 0 is also not a part of a sequence so it goes back to A • At D, when you get a 0, 10 is not a part of the sequence and 0 is also not a part of a sequence so it goes back to A • At D, when you get a 1, the next sequence is already detected as previously it had 11 so the output should be 1 which means it should stay at D (Where the output is always 1) Write the State Table: Lets implement with D-Flip-Flop. Encode the states and re-write the State Table. DaDb will be same as NS in D Flip-Flop implementation   Shivoo  Koteshwar’s  Notes                                          6                                                                                          shivoo@pes.edu        
  • 7. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0       Shivoo  Koteshwar’s  Notes                                          7                                                                                          shivoo@pes.edu        
  • 8. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     Sequence Detector Design 2 Question:  The network will examine a string of 0’s and 1’s applied to the X input and generate an output Z=1 only when a prescribed input sequence occurs. It will be assumed that the input X can only change between clock pulses. Design a network so that any input sequence ending with 101 will produce an output Z=1 Solution: Reading the requirement, we can rewrite the specification in a simpler way as below: • Sequence is 101 • Sequential Design • Overlapping • Mealy and Moore • Assumption: Input data sequence is coming from the left MEALY STEP1: For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states). Here the sequence is 101 so the sequence length is 3 so write 3 bubbles STEP2: Fill the sequence-detected state STEP3: Fill the remaining input combinations 1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A 2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will stay at B 3. At C, when you get a 1, the sequence is detected so the output goes to 1. 01 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will go at B   Shivoo  Koteshwar’s  Notes                                          8                                                                                          shivoo@pes.edu        
  • 9. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     4. At C, when you get a 0, 00 is not a part of the sequence and 0 is also not a part of the sequence so it goes to A   Shivoo  Koteshwar’s  Notes                                          9                                                                                          shivoo@pes.edu        
  • 10. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     MOORE STEP1: For a Moore circuit, if the sequence length is “n”, write “n+1” bubbles (states). Here the sequence is 101 so the sequence length is 3 so write 4 bubbles STEP2: Fill the sequence-detected state STEP3: Fill the remaining input combinations 1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A 2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will stay at B 3. At C, when you get a 0, 00 is not a part of the sequence and 0 is also not a part of the sequence so it goes to A 4. At D, when you get a 0, 10 is a part of the sequence and it is 2 bits of the sequence so it goes to C 5. At D, when you get a 1, 11 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will go to B   Shivoo  Koteshwar’s  Notes                                          10                                                                                    shivoo@pes.edu              
  • 11. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     Sequence Detector Design 3 Question: Construct a Mealy state diagram that will detect input sequence of 10110. The detection of the required bit pattern can occur in a longer data string and the correct pattern can overlap with another pattern. When the input patterns has been detected, cause an output z to be asserted high Solution: Reading the requirement, we can rewrite the specification in a simpler way as below: • Mealy state diagram • Sequence: 10110 • Overlapping • Output z when sequence is detected • Assumption: Input data sequence is coming from the left MEALY STEP1: For a Mealy circuit, if the sequence length is “n”, write “n” bubbles (states). Here the sequence is 10110 so the sequence length is 5 so write 5 bubbles STEP2: Fill the sequence-detected state STEP3: Fill the remaining input combinations 1. At A, when you get a 0, 0 is not a part of the sequence so it stays at A 2. At B, when you get a 1, 11 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will stay at B 3. At C, when you get a 0, 00 is not a part of the sequence so it goes back to A 4. At D, when you get a 0, 10 is a part of the sequence and it is 2 bits of the sequence so it goes to C 5. At E, when you get a 0, the sequence is detected and the output is 1. 10 is a part of the sequence and it is 2 bits of the sequence so it goes to C   Shivoo  Koteshwar’s  Notes                                          11                                                                                    shivoo@pes.edu              
  • 12. Logic  Design  (3rd  Semester)                                                                                                                            UNIT  8  Notes  v1.0     6. At E, when you get a 1, 11 is not a part of the sequence but 1 is a part of the sequence and it’s the first bit of the sequence, so it will go to B   Shivoo  Koteshwar’s  Notes                                          12                                                                                    shivoo@pes.edu