SlideShare une entreprise Scribd logo
1  sur  16
Comprehensive Low Power Design Analysis and Optimization An RTL to GDSII Approach Aveek Sarkar and Ronen Stilkol Apache Design Solutions
Power: The Metric For Chip Success ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Power dissipated = voltage X current Current Drawn
Trends in Current Draw and Power Dissipation ,[object Object],[object Object],[object Object],[object Object]
Current and Voltage as  Design Targets ,[object Object],[object Object],1 1 Predict power accurately “early” 2 Predict power reduction possible 2 3 Identify achievable design changes 3 Power Number of RTL edits
Power in the RTL  GDS II  Flow Target power reduction early in the design flow Ensure design verification to predict voltage drop noise from low power techniques Power reduction  Usage Curve Power Integrity  Usage Curve Physical Implementation & Signoff RTL Design  & reduction Floor-planning & Synthesis Chip-Package-System Convergence
Techniques for Power Reduction An Analysis Driven Approach ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Operational Power Reduction   Clock Tree Optimization RTL: Add and Improve Clock Enables  Non-enabled Enabled sel sel_1d 1 R2 D RTL reductions make clock gating more effective EN CLK CG GCLK D EN CLK D Synthesis: Clock Gating RTL Gates
Operational Power Reduction   Datapath Optimization
Standby Power Reduction   Power Gating ,[object Object],[object Object],Power Gating Options Header Switches Footer Switches Block Vdd Vss CTL Block Vss Vdd CTL Dual Switches cntl1 cntl2 Ext VSS Int VSS Ext VDD Int VDD
[object Object],[object Object],Power gating Clock gating Clock mode transitions generate transient event causing Ldi/dt noise  Impact of Low Power Design  Techniques on Power Integrity Constant activity Mode Clock gating mode
Impact of Design and Process  Changes on Silicon Integrity
Low Power Design Verification Challenges ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Change in ESC/ESR for a decap cell Normalized against 130nm
Power Integrity Analysis for  Low Power Designs ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Case Studies Bump Placement and Package Issues Highlighted ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],L di / dt ~ high DvD High drop area
Chip Package System Convergence An RTL to GDSII Focus 06/22/10 ,  RTL to GDS CPS Convergence RTL Design  & reduction RTL Power Reduction RTL Power Analysis Floor-planning & Synthesis PG, IO Planning Early CPM Package/PCB planning Physical Implementation & Signoff IP Validation SoC Analysis Timing Impact CPS sign-off + cost down
[object Object],[object Object],[object Object],[object Object],[object Object],Selected References

Contenu connexe

En vedette

Track B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - MentorTrack B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - Mentor
chiportal
 
Arduino as an embedded industrial controller
Arduino as an embedded industrial controllerArduino as an embedded industrial controller
Arduino as an embedded industrial controller
Jose Luis Poza Luján
 
EMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGYEMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGY
Vinay Kumar
 
Ppt on automation
Ppt on automation Ppt on automation
Ppt on automation
harshaa
 

En vedette (14)

Track B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - MentorTrack B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - Mentor
 
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can BusIntegrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
 
Arduino as an embedded industrial controller
Arduino as an embedded industrial controllerArduino as an embedded industrial controller
Arduino as an embedded industrial controller
 
sms based speed change of motor or fan
sms based speed change of motor or fansms based speed change of motor or fan
sms based speed change of motor or fan
 
MMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound HealingMMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound Healing
 
Seyer June06 Analyst Day
Seyer June06 Analyst DaySeyer June06 Analyst Day
Seyer June06 Analyst Day
 
Automatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image ProcessingAutomatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image Processing
 
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis TechniquesAdvanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Seminar presentation on embedded web technology
Seminar presentation on embedded web technologySeminar presentation on embedded web technology
Seminar presentation on embedded web technology
 
EMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGYEMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGY
 
Ppt on automation
Ppt on automation Ppt on automation
Ppt on automation
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 

Similaire à Apache track d updated

Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
Saurabh Dighe
 

Similaire à Apache track d updated (20)

LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
8891.ppt
8891.ppt8891.ppt
8891.ppt
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 

Plus de Alona Gradman (19)

Bary pangrle mentor track d
Bary pangrle   mentor track dBary pangrle   mentor track d
Bary pangrle mentor track d
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track g
 
Xilinx track g
Xilinx   track gXilinx   track g
Xilinx track g
 
Altera trcak g
Altera  trcak gAltera  trcak g
Altera trcak g
 
Arm updated track h
Arm updated  track hArm updated  track h
Arm updated track h
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
 
Vsync track c
Vsync   track cVsync   track c
Vsync track c
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Synopsys track c
Synopsys track cSynopsys track c
Synopsys track c
 
Intel track a
Intel   track aIntel   track a
Intel track a
 
Mips track a
Mips   track aMips   track a
Mips track a
 
E silicon track b
E silicon  track bE silicon  track b
E silicon track b
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
 

Dernier

Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
KarakKing
 

Dernier (20)

Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptx
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptx
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 

Apache track d updated

  • 1. Comprehensive Low Power Design Analysis and Optimization An RTL to GDSII Approach Aveek Sarkar and Ronen Stilkol Apache Design Solutions
  • 2.
  • 3.
  • 4.
  • 5. Power in the RTL  GDS II Flow Target power reduction early in the design flow Ensure design verification to predict voltage drop noise from low power techniques Power reduction Usage Curve Power Integrity Usage Curve Physical Implementation & Signoff RTL Design & reduction Floor-planning & Synthesis Chip-Package-System Convergence
  • 6.
  • 7. Operational Power Reduction Clock Tree Optimization RTL: Add and Improve Clock Enables Non-enabled Enabled sel sel_1d 1 R2 D RTL reductions make clock gating more effective EN CLK CG GCLK D EN CLK D Synthesis: Clock Gating RTL Gates
  • 8. Operational Power Reduction Datapath Optimization
  • 9.
  • 10.
  • 11. Impact of Design and Process Changes on Silicon Integrity
  • 12.
  • 13.
  • 14.
  • 15. Chip Package System Convergence An RTL to GDSII Focus 06/22/10 , RTL to GDS CPS Convergence RTL Design & reduction RTL Power Reduction RTL Power Analysis Floor-planning & Synthesis PG, IO Planning Early CPM Package/PCB planning Physical Implementation & Signoff IP Validation SoC Analysis Timing Impact CPS sign-off + cost down
  • 16.