SlideShare une entreprise Scribd logo
1  sur  12
Description d’un schema logique
Voici un schéma logique d'un système :
Donner la description VHDL correspondante
Description d’un schema logique
Description par table de vérité (TDV)
Il s'agit de réaliser un transcodeur qui en entrée reçoit une information
codée avec le code GRAY sur 3 bits. En sortie, le transcodeur fourni une
information en binaire pur sur 3 bits. Le schéma bloc du système est le
suivant:
Description par table de vérité (TDV)
La table de vérité correspondante au transcodeur est la suivante:
Description par table de vérité (TDV)
La description VHDL correspondante, utilisant l'instruction with .. select, est donnée ci-dessous:
Description par flot de donnée
Voici le schéma bloc d'un comparateur 4 bits avec sortie égalité:
Donner la description VHDL correspondante, utilisant l'instruction when ... else
library IEEE;
use IEEE.Std_Logic_1164.all;
entity Comp_Eq is
port(Val_A, Val_B : in Std_Logic_Vector( 3 downto 0);
A_Eq_B : out Std_Logic);
end Comp_Eq;
architecture Flot_Don of Comp_Eq is
begin
A_Eq_B <= '1' when (Val_A = Val_B) else '0';
end Flot_Don;
Description par flot de donnée solution
library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.Numeric_Std.all;
entity Comp_Eq is
port(Val_A, Val_B : in Std_Logic_Vector(3 downto 0);
A_Eq_B : out Std_Logic);
end Comp_Eq;
architecture Flot_Don of Comp_Eq is
begin
--Utilise le type Unsigned pour garantir une
-- comparaison correcte
A_Eq_B <= '1' when (Unsigned(Val_A)=Unsigned(Val_B))
else
'0';
end Flot_Don;
Description par flot de donnée solution
Description comportementale
Voici le schéma bloc d'un démultiplexeur 1 à 4 :
Donner la description comportementale de ce demultiplexeur
library IEEE;
use IEEE.Std_Logic_1164.all;
entity DMUX1_4 is
port ( Sel : in Std_Logic_Vector (1 downto 0);
Enable : in Std_Logic;
Y : out Std_Logic_Vector (3 downto 0) );
end DMUX1_4;
architecture Comport of DMUX1_4 is
begin
process(Sel ,Enable)
begin
Y <= (others => '0'); -- Valeur par défaut
if Enable = '1' then
case Sel is
when "00" => Y(0) <= '1';
when "01" => Y(1) <= '1';
when "10" => Y(2) <= '1';
when "11" => Y(3) <= '1';
when others => Y <= (others => 'X');
-- pour la simulation
end case;
end if;
end process;
end Comport;
Solution
Description comportementale
Exercice Decodeur d’adresse
Soit le décodeur:
Le tableau ci-dessous nous donne le plan d'adressage de ce décodeur :
Donner la description du décodeur d’adresses
Solution
Library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.Numeric_Std.all;
entity Dec_Ad is
port(Adresse : in
Std_Logic_Vector(7 downto 0);
CS_A, CS_B, CS_C : out Std_Logic
);
end Dec_Ad;
architecture Comport of Dec_Ad is
begin
process(adresse)
begin
CS_A <= '0'; --Valeur par defaut
CS_B <= '0'; --Valeur par defaut
CS_C <= '0'; --Valeur par defaut
case To_Integer(unsigned(Adresse)) is
when 0 to 47 => CS_A <= '1';
-- adresse 0 a 2F
when 48 to 79 => CS_B <= '1';
--adresse 30 a 4F
when 80 to 127 => CS_C <= '1';
--adresse 50 a 7
when 128 to 255 => null;
--zone libre
when others => CS_A <= 'X';
--simulation
CS_B <= 'X';
--simulation
CS_C <= 'X';
--simulation
end case;
end process;
end Comport;

Contenu connexe

Similaire à exercices.pptx---------------------------

Les secrets de la JVM pour les algos à haute fréquence
Les secrets de la JVM pour les algos à haute fréquenceLes secrets de la JVM pour les algos à haute fréquence
Les secrets de la JVM pour les algos à haute fréquenceOCTO Technology
 
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?Ruau Mickael
 
mis
mismis
misISIG
 
Java1_BasesLangage.pdf
Java1_BasesLangage.pdfJava1_BasesLangage.pdf
Java1_BasesLangage.pdfLarbaSAWADOGO2
 
Java1_BasesLangage.pdf
Java1_BasesLangage.pdfJava1_BasesLangage.pdf
Java1_BasesLangage.pdfLarbaSAWADOGO2
 
Python avancé : Ensemble, dictionnaire et base de données
Python avancé : Ensemble, dictionnaire et base de donnéesPython avancé : Ensemble, dictionnaire et base de données
Python avancé : Ensemble, dictionnaire et base de donnéesECAM Brussels Engineering School
 
Les fondamentaux du langage C
Les fondamentaux du langage CLes fondamentaux du langage C
Les fondamentaux du langage CAbdoulaye Dieng
 
Formation arduino 2018
Formation arduino 2018Formation arduino 2018
Formation arduino 2018Hichem Hamdi
 
Visual studio
Visual studioVisual studio
Visual studioISIG
 
Cours de PIC Généralités.pdf
Cours de PIC Généralités.pdfCours de PIC Généralités.pdf
Cours de PIC Généralités.pdfAliRami3
 
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxIEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxRuau Mickael
 
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018Carles Sistare
 
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxIEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxRuau Mickael
 

Similaire à exercices.pptx--------------------------- (20)

Introduction a Java
Introduction a JavaIntroduction a Java
Introduction a Java
 
Formation python
Formation pythonFormation python
Formation python
 
Les secrets de la JVM pour les algos à haute fréquence
Les secrets de la JVM pour les algos à haute fréquenceLes secrets de la JVM pour les algos à haute fréquence
Les secrets de la JVM pour les algos à haute fréquence
 
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?
Barbie explique IEEE754 : pourquoi les calculs informatiques sont faux?
 
Rapport de sujet BTS 1.0
Rapport de sujet BTS 1.0Rapport de sujet BTS 1.0
Rapport de sujet BTS 1.0
 
mis
mismis
mis
 
Java1_BasesLangage.pdf
Java1_BasesLangage.pdfJava1_BasesLangage.pdf
Java1_BasesLangage.pdf
 
Java1_BasesLangage.pdf
Java1_BasesLangage.pdfJava1_BasesLangage.pdf
Java1_BasesLangage.pdf
 
Python avancé : Ensemble, dictionnaire et base de données
Python avancé : Ensemble, dictionnaire et base de donnéesPython avancé : Ensemble, dictionnaire et base de données
Python avancé : Ensemble, dictionnaire et base de données
 
Les fondamentaux du langage C
Les fondamentaux du langage CLes fondamentaux du langage C
Les fondamentaux du langage C
 
Réseaux partie 2.ppt
Réseaux partie 2.pptRéseaux partie 2.ppt
Réseaux partie 2.ppt
 
C++ 11/14
C++ 11/14C++ 11/14
C++ 11/14
 
µP
µPµP
µP
 
Formation arduino 2018
Formation arduino 2018Formation arduino 2018
Formation arduino 2018
 
T ps dsp
T ps dspT ps dsp
T ps dsp
 
Visual studio
Visual studioVisual studio
Visual studio
 
Cours de PIC Généralités.pdf
Cours de PIC Généralités.pdfCours de PIC Généralités.pdf
Cours de PIC Généralités.pdf
 
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxIEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
 
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018
gRPC, ECHANGES A HAUTE FREQUENCE ! DEVOXX 2018
 
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_fauxIEEE754-pourquoi_les_calculs_informatiques_sont_faux
IEEE754-pourquoi_les_calculs_informatiques_sont_faux
 

Dernier

Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024
Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024
Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024Ville de Châteauguay
 
conception d'un batiment r+4 comparative de defferente ariante de plancher
conception d'un  batiment  r+4 comparative de defferente ariante de plancherconception d'un  batiment  r+4 comparative de defferente ariante de plancher
conception d'un batiment r+4 comparative de defferente ariante de planchermansouriahlam
 
firefly algoriyhm sac a dos step by step .pdf
firefly algoriyhm sac a dos step by step .pdffirefly algoriyhm sac a dos step by step .pdf
firefly algoriyhm sac a dos step by step .pdffirstjob4
 
Algo II: les files cours + exercices corrigés
Algo II: les files cours + exercices corrigésAlgo II: les files cours + exercices corrigés
Algo II: les files cours + exercices corrigésSana REFAI
 
optimisation logistique MLT_231102_155827.pdf
optimisation logistique  MLT_231102_155827.pdfoptimisation logistique  MLT_231102_155827.pdf
optimisation logistique MLT_231102_155827.pdfSoukainaMounawir
 
comprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestioncomprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestionyakinekaidouchi1
 

Dernier (7)

Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024
Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024
Présentation_Soirée-Information_ Surverse_Thibert _30 avril 2024
 
conception d'un batiment r+4 comparative de defferente ariante de plancher
conception d'un  batiment  r+4 comparative de defferente ariante de plancherconception d'un  batiment  r+4 comparative de defferente ariante de plancher
conception d'un batiment r+4 comparative de defferente ariante de plancher
 
firefly algoriyhm sac a dos step by step .pdf
firefly algoriyhm sac a dos step by step .pdffirefly algoriyhm sac a dos step by step .pdf
firefly algoriyhm sac a dos step by step .pdf
 
Algo II: les files cours + exercices corrigés
Algo II: les files cours + exercices corrigésAlgo II: les files cours + exercices corrigés
Algo II: les files cours + exercices corrigés
 
optimisation logistique MLT_231102_155827.pdf
optimisation logistique  MLT_231102_155827.pdfoptimisation logistique  MLT_231102_155827.pdf
optimisation logistique MLT_231102_155827.pdf
 
JTC 2024 Bâtiment et Photovoltaïque.pdf
JTC 2024  Bâtiment et Photovoltaïque.pdfJTC 2024  Bâtiment et Photovoltaïque.pdf
JTC 2024 Bâtiment et Photovoltaïque.pdf
 
comprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestioncomprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestion
 

exercices.pptx---------------------------

  • 1. Description d’un schema logique Voici un schéma logique d'un système : Donner la description VHDL correspondante
  • 3. Description par table de vérité (TDV) Il s'agit de réaliser un transcodeur qui en entrée reçoit une information codée avec le code GRAY sur 3 bits. En sortie, le transcodeur fourni une information en binaire pur sur 3 bits. Le schéma bloc du système est le suivant:
  • 4. Description par table de vérité (TDV) La table de vérité correspondante au transcodeur est la suivante:
  • 5. Description par table de vérité (TDV) La description VHDL correspondante, utilisant l'instruction with .. select, est donnée ci-dessous:
  • 6. Description par flot de donnée Voici le schéma bloc d'un comparateur 4 bits avec sortie égalité: Donner la description VHDL correspondante, utilisant l'instruction when ... else
  • 7. library IEEE; use IEEE.Std_Logic_1164.all; entity Comp_Eq is port(Val_A, Val_B : in Std_Logic_Vector( 3 downto 0); A_Eq_B : out Std_Logic); end Comp_Eq; architecture Flot_Don of Comp_Eq is begin A_Eq_B <= '1' when (Val_A = Val_B) else '0'; end Flot_Don; Description par flot de donnée solution
  • 8. library IEEE; use IEEE.Std_Logic_1164.all; use IEEE.Numeric_Std.all; entity Comp_Eq is port(Val_A, Val_B : in Std_Logic_Vector(3 downto 0); A_Eq_B : out Std_Logic); end Comp_Eq; architecture Flot_Don of Comp_Eq is begin --Utilise le type Unsigned pour garantir une -- comparaison correcte A_Eq_B <= '1' when (Unsigned(Val_A)=Unsigned(Val_B)) else '0'; end Flot_Don; Description par flot de donnée solution
  • 9. Description comportementale Voici le schéma bloc d'un démultiplexeur 1 à 4 : Donner la description comportementale de ce demultiplexeur
  • 10. library IEEE; use IEEE.Std_Logic_1164.all; entity DMUX1_4 is port ( Sel : in Std_Logic_Vector (1 downto 0); Enable : in Std_Logic; Y : out Std_Logic_Vector (3 downto 0) ); end DMUX1_4; architecture Comport of DMUX1_4 is begin process(Sel ,Enable) begin Y <= (others => '0'); -- Valeur par défaut if Enable = '1' then case Sel is when "00" => Y(0) <= '1'; when "01" => Y(1) <= '1'; when "10" => Y(2) <= '1'; when "11" => Y(3) <= '1'; when others => Y <= (others => 'X'); -- pour la simulation end case; end if; end process; end Comport; Solution
  • 11. Description comportementale Exercice Decodeur d’adresse Soit le décodeur: Le tableau ci-dessous nous donne le plan d'adressage de ce décodeur : Donner la description du décodeur d’adresses
  • 12. Solution Library IEEE; use IEEE.Std_Logic_1164.all; use IEEE.Numeric_Std.all; entity Dec_Ad is port(Adresse : in Std_Logic_Vector(7 downto 0); CS_A, CS_B, CS_C : out Std_Logic ); end Dec_Ad; architecture Comport of Dec_Ad is begin process(adresse) begin CS_A <= '0'; --Valeur par defaut CS_B <= '0'; --Valeur par defaut CS_C <= '0'; --Valeur par defaut case To_Integer(unsigned(Adresse)) is when 0 to 47 => CS_A <= '1'; -- adresse 0 a 2F when 48 to 79 => CS_B <= '1'; --adresse 30 a 4F when 80 to 127 => CS_C <= '1'; --adresse 50 a 7 when 128 to 255 => null; --zone libre when others => CS_A <= 'X'; --simulation CS_B <= 'X'; --simulation CS_C <= 'X'; --simulation end case; end process; end Comport;