SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
✪ Spartan 3e FPGA Board Tutoriels ✪
letselectronic.blogspot.com /2016/03/spartan-3e-fpga-board-tutoriels.html
Spartan 3e FPGA Board !!!
Pour ceux qui n'ont pas encore des connaissances sur le domaine FPGA, et qui savent pas encore les liens
directs entre ce dernier et VHDL, ainsi comment faire pour configurer et programmer une carte FPGA vous
pouvez jette une œil sur mon ancien article Lets Discover FPGA avant de démarrer en si dessous .
Aujourd'hui j'ai choisi la carte Spartan 3e FPGA pour débuter cette série de tutoriels, alors découvrons
d'abord cette dernière.
Un FPGA est un dispositif à semi-conducteurs composé d'une matrice de blocs logiques configurables
connectés par des interconnexions programmables. L'utilisateur détermine ces interconnexions en
programmant la mémoire SRAM. Un CLB peut être simple (portes AND, OR, etc.) ou complexe (un bloc de
RAM). Le FPGA permet d'apporter des modifications à une conception même après le soudage du dispositif
sur un circuit imprimé.
La Spartan 3e elle est caractérisée par :
Nombre de portes logiques 500000
Nombre d'unités logiques 1164
1/6
Nombre de registres 9312
Nombre de multiplieurs 20 (18 x 18)
Type de montage CMS
Type de boîtier FBGA
Nombre de broche 320
Nombre de bits de RAM 74752 bit
Dimensions 19 x 19 x 1.4mm
Hauteur 1.4mm
Longueur 19mm
Tension d'alimentation fonctionnement maximum 1,26 V
Température de fonctionnement minimum 0 °C
Température d'utilisation maximum +85 °C
Largeur 19mm
Tension d'alimentation de fonctionnement minimum 1,14 V
2/6
Alors pour débuter avec Spartan 3e, on a choisi des test simples tels que contrôler les Diodes Led sur la
carte. pour ceci comme j'ai déjà expliquer dans mon article précédant je doit utiliser ISE et programmer en
VHDL.
Voila le programme qui est capable de faire allumer tout les Led sur Spartan 3e.
3/6
// Hello Word !!d
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Aymen Lachkhem
--
-- Create Date: 15:01:03 03/23/2016
-- Design Name:
-- Module Name: Testing_LEDS - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Testing_LEDS is
Port ( LED_1 : out STD_LOGIC;
LED_2 : out STD_LOGIC;
LED_3 : out STD_LOGIC;
LED_4 : out STD_LOGIC;
LED_5 : out STD_LOGIC);
end Testing_LEDS;
architecture Behavioral of Testing_LEDS is
begin
LED_1 <= '1';
LED_2 <= '1';
LED_3 <= '1';
LED_4 <= '1';
LED_5 <= '1';
end Behavioral;
et Pour la configurations des pins j'ai fait ça :
NET "LED_1" LOC = "F12";
NET "LED_2" LOC = "E12";
NET "LED_3" LOC = "E11";
NET "LED_4" LOC = "F11";
NET "LED_5" LOC = "C11";
Voici cette vidéo vous expliquerez pas à pas tout les taches faites, et vous montrerez le test pratique.
4/6
On va passé maintenant dés d'allumer les leds a les faire controler chaque une par un switcheur inclus dans la
carte Spartan 3e, au niveau du programme, il y aura pas beaucoup de changement il faut juste boucler
l'allumage par des conditions répétitives de switcheurs.
// Leds,Buttons Interfacing---------------------------------------------------------------
-------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:13:12 03/23/2016
-- Design Name:
-- Module Name: Button_LEDS - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Button_LEDS is
Port ( Button_1 : in STD_LOGIC;
Button_2 : in STD_LOGIC;
Button_3 : in STD_LOGIC;
Button_4 : in STD_LOGIC;
Led_1 : out STD_LOGIC;
Led_2 : out STD_LOGIC;
Led_3 : out STD_LOGIC;
Led_4 : out STD_LOGIC);
end Button_LEDS;
architecture Behavioral of Button_LEDS is
begin
WORK:process
begin
if(Button_1 = '1') then
Led_1 <= '1';
else
Led_1 <= '0';
end if;
if(Button_2 = '1') then
Led_2 <= '1';
else
Led_2 <= '0'; 5/6
Led_2 <= '0';
end if;
if(Button_3 = '1') then
Led_3 <= '1';
else
Led_3 <= '0';
end if;
if(Button_4 = '1') then
Led_4 <= '1';
else
Led_4 <= '0';
end if;
end process;
end Behavioral;
et Pour la configurations des pins j'ai fait ça :
NET "Button_1" LOC = "N17";
NET "Button_2" LOC = "L13";
NET "Button_3" LOC = "H18";
NET "Button_4" LOC = "L14";
NET "Led_1" LOC = "E9";
NET "Led_2" LOC = "F12";
NET "Led_3" LOC = "E11";
NET "Led_4" LOC = "C11";
Voici cette vidéo vous expliquerez pas à pas tout les taches faites, et vous montrerez le test pratique.
6/6

Contenu connexe

Tendances

Schéma éléctrique (www.livre-technique.com)
Schéma éléctrique (www.livre-technique.com)Schéma éléctrique (www.livre-technique.com)
Schéma éléctrique (www.livre-technique.com)Livre Technique
 
شرح مفصل حول Schémas électriques
 شرح مفصل حول Schémas électriques شرح مفصل حول Schémas électriques
شرح مفصل حول Schémas électriqueselectrolouhla
 
Polycopié Electronique de puissance avec Matlab Simulink.pdf
Polycopié Electronique de puissance avec Matlab Simulink.pdfPolycopié Electronique de puissance avec Matlab Simulink.pdf
Polycopié Electronique de puissance avec Matlab Simulink.pdfYoussefOumhella
 
Système+treuil+électrique+(corrigé)
Système+treuil+électrique+(corrigé)Système+treuil+électrique+(corrigé)
Système+treuil+électrique+(corrigé)Mohamed Trabelsi
 
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieur
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieurExercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieur
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieurzahir99
 
Demarrage d'un moteur asynchrone triphasé
Demarrage d'un moteur asynchrone triphaséDemarrage d'un moteur asynchrone triphasé
Demarrage d'un moteur asynchrone triphasémorin moli
 
DE L’AUTOMATE PROGRAMMABLE
 DE L’AUTOMATE PROGRAMMABLE  DE L’AUTOMATE PROGRAMMABLE
DE L’AUTOMATE PROGRAMMABLE morin moli
 
Soutenance rapport ht 60k v nahidi hassan
Soutenance rapport ht 60k v nahidi hassanSoutenance rapport ht 60k v nahidi hassan
Soutenance rapport ht 60k v nahidi hassanriemmen
 
Démarrage d'un mas avec un variateur de vitesse
Démarrage d'un mas avec un variateur de vitesseDémarrage d'un mas avec un variateur de vitesse
Démarrage d'un mas avec un variateur de vitesseRIDAADARDAK1
 
Supervision industrielle www.automate pro.blogspot.com
Supervision industrielle www.automate pro.blogspot.comSupervision industrielle www.automate pro.blogspot.com
Supervision industrielle www.automate pro.blogspot.comAdnane Ahmidani
 
Mémoire Doc.PDF
Mémoire Doc.PDFMémoire Doc.PDF
Mémoire Doc.PDFsadak amine
 
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...HousamRiad
 
Ener1 - CM3 - Puissance électrique
Ener1  - CM3 - Puissance électriqueEner1  - CM3 - Puissance électrique
Ener1 - CM3 - Puissance électriquePierre Maréchal
 
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdale
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdaleEtude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdale
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdaleabdelghani1993
 
Opérateurs logiques – Systèmes combinatoires et séquentiels
Opérateurs logiques – Systèmes combinatoires et séquentielsOpérateurs logiques – Systèmes combinatoires et séquentiels
Opérateurs logiques – Systèmes combinatoires et séquentielsPeronnin Eric
 

Tendances (20)

Schéma éléctrique (www.livre-technique.com)
Schéma éléctrique (www.livre-technique.com)Schéma éléctrique (www.livre-technique.com)
Schéma éléctrique (www.livre-technique.com)
 
شرح مفصل حول Schémas électriques
 شرح مفصل حول Schémas électriques شرح مفصل حول Schémas électriques
شرح مفصل حول Schémas électriques
 
Redresseurs
RedresseursRedresseurs
Redresseurs
 
Polycopié Electronique de puissance avec Matlab Simulink.pdf
Polycopié Electronique de puissance avec Matlab Simulink.pdfPolycopié Electronique de puissance avec Matlab Simulink.pdf
Polycopié Electronique de puissance avec Matlab Simulink.pdf
 
Système+treuil+électrique+(corrigé)
Système+treuil+électrique+(corrigé)Système+treuil+électrique+(corrigé)
Système+treuil+électrique+(corrigé)
 
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieur
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieurExercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieur
Exercices corrigés-sur-convertisseurs-statiques-2-bac-science-d ingénieur
 
Demarrage d'un moteur asynchrone triphasé
Demarrage d'un moteur asynchrone triphaséDemarrage d'un moteur asynchrone triphasé
Demarrage d'un moteur asynchrone triphasé
 
Cours robotique
Cours robotiqueCours robotique
Cours robotique
 
DE L’AUTOMATE PROGRAMMABLE
 DE L’AUTOMATE PROGRAMMABLE  DE L’AUTOMATE PROGRAMMABLE
DE L’AUTOMATE PROGRAMMABLE
 
Soutenance rapport ht 60k v nahidi hassan
Soutenance rapport ht 60k v nahidi hassanSoutenance rapport ht 60k v nahidi hassan
Soutenance rapport ht 60k v nahidi hassan
 
Démarrage d'un mas avec un variateur de vitesse
Démarrage d'un mas avec un variateur de vitesseDémarrage d'un mas avec un variateur de vitesse
Démarrage d'un mas avec un variateur de vitesse
 
Supervision industrielle www.automate pro.blogspot.com
Supervision industrielle www.automate pro.blogspot.comSupervision industrielle www.automate pro.blogspot.com
Supervision industrielle www.automate pro.blogspot.com
 
Mémoire Doc.PDF
Mémoire Doc.PDFMémoire Doc.PDF
Mémoire Doc.PDF
 
Groupe electrogene
Groupe electrogeneGroupe electrogene
Groupe electrogene
 
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...
ÉTUDE PRÉLIMINAIRE EN SIMULATION DU SYSTÈME ÉOLIEN DE PRODUCTION D’ÉNERGIE ÉL...
 
Ener1 - CM3 - Puissance électrique
Ener1  - CM3 - Puissance électriqueEner1  - CM3 - Puissance électrique
Ener1 - CM3 - Puissance électrique
 
rapport eii.pdf
rapport eii.pdfrapport eii.pdf
rapport eii.pdf
 
Jads1
Jads1Jads1
Jads1
 
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdale
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdaleEtude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdale
Etude et analyse de la commande des onduleurs Multi-niveaux par MLI sinusoïdale
 
Opérateurs logiques – Systèmes combinatoires et séquentiels
Opérateurs logiques – Systèmes combinatoires et séquentielsOpérateurs logiques – Systèmes combinatoires et séquentiels
Opérateurs logiques – Systèmes combinatoires et séquentiels
 

En vedette

PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTSPERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTSMartha Russell
 
Let's check correction
Let's check correctionLet's check correction
Let's check correctionNeus Puig
 
Tutorials book
Tutorials bookTutorials book
Tutorials bookifteee
 
Roy pea lpch_06_10_10
Roy pea lpch_06_10_10Roy pea lpch_06_10_10
Roy pea lpch_06_10_10Roy Pea
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakitaroosa khan
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardVincent Claes
 
Ieee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmleteIeee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmletetema_solution
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeManu BN
 
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)Dave McClure
 
Introduction to Communication Systems 2
Introduction to Communication Systems 2Introduction to Communication Systems 2
Introduction to Communication Systems 2slmnsvn
 
Chuong 4.1 tin hieu va pho
Chuong 4.1 tin hieu va phoChuong 4.1 tin hieu va pho
Chuong 4.1 tin hieu va phothanhyu
 
Logic design and switching theory
Logic design and switching theoryLogic design and switching theory
Logic design and switching theoryjomerson remorosa
 
Introduction to Fourier transform and signal analysis
Introduction to Fourier transform and signal analysisIntroduction to Fourier transform and signal analysis
Introduction to Fourier transform and signal analysis宗翰 謝
 
Fourier series example
Fourier series exampleFourier series example
Fourier series exampleAbi finni
 
Wireless Channel Modeling - MATLAB Simulation Approach
Wireless Channel Modeling - MATLAB Simulation ApproachWireless Channel Modeling - MATLAB Simulation Approach
Wireless Channel Modeling - MATLAB Simulation ApproachJayamohan Govindaraj
 
Simulation of A Communications System Using Matlab
Simulation of A Communications System Using MatlabSimulation of A Communications System Using Matlab
Simulation of A Communications System Using MatlabPolytechnique Montreal
 

En vedette (20)

Dsp Presentation
Dsp PresentationDsp Presentation
Dsp Presentation
 
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTSPERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
 
Let's check correction
Let's check correctionLet's check correction
Let's check correction
 
Tutorials book
Tutorials bookTutorials book
Tutorials book
 
Roy pea lpch_06_10_10
Roy pea lpch_06_10_10Roy pea lpch_06_10_10
Roy pea lpch_06_10_10
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakit
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
 
Ieee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmleteIeee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmlete
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
 
Smart Workspaces
Smart WorkspacesSmart Workspaces
Smart Workspaces
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Sodc 1 Introduction
Sodc 1 IntroductionSodc 1 Introduction
Sodc 1 Introduction
 
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)
10 Million in 10 Weeks (Stanford Facebook Class, Fall 2007)
 
Introduction to Communication Systems 2
Introduction to Communication Systems 2Introduction to Communication Systems 2
Introduction to Communication Systems 2
 
Chuong 4.1 tin hieu va pho
Chuong 4.1 tin hieu va phoChuong 4.1 tin hieu va pho
Chuong 4.1 tin hieu va pho
 
Logic design and switching theory
Logic design and switching theoryLogic design and switching theory
Logic design and switching theory
 
Introduction to Fourier transform and signal analysis
Introduction to Fourier transform and signal analysisIntroduction to Fourier transform and signal analysis
Introduction to Fourier transform and signal analysis
 
Fourier series example
Fourier series exampleFourier series example
Fourier series example
 
Wireless Channel Modeling - MATLAB Simulation Approach
Wireless Channel Modeling - MATLAB Simulation ApproachWireless Channel Modeling - MATLAB Simulation Approach
Wireless Channel Modeling - MATLAB Simulation Approach
 
Simulation of A Communications System Using Matlab
Simulation of A Communications System Using MatlabSimulation of A Communications System Using Matlab
Simulation of A Communications System Using Matlab
 

Similaire à Spartan 3E FPGA Board Tutoriels

Presentaion fpga µc µp quelles est la solution
Presentaion  fpga µc µp quelles est la solutionPresentaion  fpga µc µp quelles est la solution
Presentaion fpga µc µp quelles est la solutionCynapsys It Hotspot
 
Formation gns3
Formation gns3Formation gns3
Formation gns3Joeongala
 
Sizing PoC LSF & PowerAI for Engineers schools workloads
Sizing PoC LSF & PowerAI for Engineers schools workloadsSizing PoC LSF & PowerAI for Engineers schools workloads
Sizing PoC LSF & PowerAI for Engineers schools workloadsPhilippeBrogi
 
Comment Realiser Cable Jtag
Comment Realiser Cable JtagComment Realiser Cable Jtag
Comment Realiser Cable Jtagguest6fc8a9
 
Comment Realiser Cable Jtag
Comment Realiser Cable JtagComment Realiser Cable Jtag
Comment Realiser Cable JtagSais Abdelkrim
 
Fpga handout
Fpga handoutFpga handout
Fpga handoutassnad
 
Tp2: Installation d'une couche d’abstraction entre un robot physique et ros
Tp2: Installation d'une couche d’abstraction entre un robot physique et rosTp2: Installation d'une couche d’abstraction entre un robot physique et ros
Tp2: Installation d'une couche d’abstraction entre un robot physique et rosSaid Benaissa
 
Jeremy e4 observium
Jeremy e4 observiumJeremy e4 observium
Jeremy e4 observiumJeremyWawer
 
JBoss clustering et tuning (lab 3/3)
JBoss clustering et tuning (lab 3/3)JBoss clustering et tuning (lab 3/3)
JBoss clustering et tuning (lab 3/3)Fourat Zouari
 
Câblage, installation et paramétrage d’un réseau informatique.pdf
Câblage, installation et paramétrage d’un réseau informatique.pdfCâblage, installation et paramétrage d’un réseau informatique.pdf
Câblage, installation et paramétrage d’un réseau informatique.pdfmia884611
 
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseries
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseriesBreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseries
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseriesXavier MARIN
 
cours_uP_beguenane_2_chapitre_2partie1.ppt
cours_uP_beguenane_2_chapitre_2partie1.pptcours_uP_beguenane_2_chapitre_2partie1.ppt
cours_uP_beguenane_2_chapitre_2partie1.pptziedhouaneb1
 
ANSII Configuration Materiel server/client x86
ANSII Configuration Materiel server/client x86ANSII Configuration Materiel server/client x86
ANSII Configuration Materiel server/client x86ssuser6373db
 
Etude DéTailléé de la pile réseau sous GNU Linux
Etude DéTailléé de la pile réseau sous GNU LinuxEtude DéTailléé de la pile réseau sous GNU Linux
Etude DéTailléé de la pile réseau sous GNU LinuxThierry Gayet
 
Ensemble complet-eon
Ensemble complet-eonEnsemble complet-eon
Ensemble complet-eonhayet nasri
 
Cisco et-le-simulateur-packet-tracer
Cisco et-le-simulateur-packet-tracerCisco et-le-simulateur-packet-tracer
Cisco et-le-simulateur-packet-tracerMed Ali Bhs
 

Similaire à Spartan 3E FPGA Board Tutoriels (20)

Presentaion fpga µc µp quelles est la solution
Presentaion  fpga µc µp quelles est la solutionPresentaion  fpga µc µp quelles est la solution
Presentaion fpga µc µp quelles est la solution
 
Formation gns3
Formation gns3Formation gns3
Formation gns3
 
Sizing PoC LSF & PowerAI for Engineers schools workloads
Sizing PoC LSF & PowerAI for Engineers schools workloadsSizing PoC LSF & PowerAI for Engineers schools workloads
Sizing PoC LSF & PowerAI for Engineers schools workloads
 
Comment Realiser Cable Jtag
Comment Realiser Cable JtagComment Realiser Cable Jtag
Comment Realiser Cable Jtag
 
Comment Realiser Cable Jtag
Comment Realiser Cable JtagComment Realiser Cable Jtag
Comment Realiser Cable Jtag
 
Fpga handout
Fpga handoutFpga handout
Fpga handout
 
Tp2: Installation d'une couche d’abstraction entre un robot physique et ros
Tp2: Installation d'une couche d’abstraction entre un robot physique et rosTp2: Installation d'une couche d’abstraction entre un robot physique et ros
Tp2: Installation d'une couche d’abstraction entre un robot physique et ros
 
Rapport tp1 j2ee
Rapport tp1 j2eeRapport tp1 j2ee
Rapport tp1 j2ee
 
Jeremy e4 observium
Jeremy e4 observiumJeremy e4 observium
Jeremy e4 observium
 
Ccna1
Ccna1Ccna1
Ccna1
 
JBoss clustering et tuning (lab 3/3)
JBoss clustering et tuning (lab 3/3)JBoss clustering et tuning (lab 3/3)
JBoss clustering et tuning (lab 3/3)
 
Câblage, installation et paramétrage d’un réseau informatique.pdf
Câblage, installation et paramétrage d’un réseau informatique.pdfCâblage, installation et paramétrage d’un réseau informatique.pdf
Câblage, installation et paramétrage d’un réseau informatique.pdf
 
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseries
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseriesBreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseries
BreizhCamp 2019 - IoT et open source hardware pour la collecte de timeseries
 
cours_uP_beguenane_2_chapitre_2partie1.ppt
cours_uP_beguenane_2_chapitre_2partie1.pptcours_uP_beguenane_2_chapitre_2partie1.ppt
cours_uP_beguenane_2_chapitre_2partie1.ppt
 
3552502.pptx
3552502.pptx3552502.pptx
3552502.pptx
 
ANSII Configuration Materiel server/client x86
ANSII Configuration Materiel server/client x86ANSII Configuration Materiel server/client x86
ANSII Configuration Materiel server/client x86
 
Etude DéTailléé de la pile réseau sous GNU Linux
Etude DéTailléé de la pile réseau sous GNU LinuxEtude DéTailléé de la pile réseau sous GNU Linux
Etude DéTailléé de la pile réseau sous GNU Linux
 
Ensemble complet-eon
Ensemble complet-eonEnsemble complet-eon
Ensemble complet-eon
 
ccna1-3.pdf
ccna1-3.pdfccna1-3.pdf
ccna1-3.pdf
 
Cisco et-le-simulateur-packet-tracer
Cisco et-le-simulateur-packet-tracerCisco et-le-simulateur-packet-tracer
Cisco et-le-simulateur-packet-tracer
 

Dernier

GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...
GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...
GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...Institut de l'Elevage - Idele
 
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...Institut de l'Elevage - Idele
 
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...Institut de l'Elevage - Idele
 
JTC 2024 La relance de la filière de la viande de chevreau.pdf
JTC 2024 La relance de la filière de la viande de chevreau.pdfJTC 2024 La relance de la filière de la viande de chevreau.pdf
JTC 2024 La relance de la filière de la viande de chevreau.pdfInstitut de l'Elevage - Idele
 
GAL2024 - Changements climatiques et maladies émergentes
GAL2024 - Changements climatiques et maladies émergentesGAL2024 - Changements climatiques et maladies émergentes
GAL2024 - Changements climatiques et maladies émergentesInstitut de l'Elevage - Idele
 
GAL2024 - L'élevage laitier cultive la biodiversité
GAL2024 - L'élevage laitier cultive la biodiversitéGAL2024 - L'élevage laitier cultive la biodiversité
GAL2024 - L'élevage laitier cultive la biodiversitéInstitut de l'Elevage - Idele
 
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdf
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdfJTC 2024 - SMARTER Retour sur les indicateurs de santé .pdf
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdfInstitut de l'Elevage - Idele
 
WBS OBS RACI_2020-etunhjjlllllll pdf.pdf
WBS OBS RACI_2020-etunhjjlllllll pdf.pdfWBS OBS RACI_2020-etunhjjlllllll pdf.pdf
WBS OBS RACI_2020-etunhjjlllllll pdf.pdfSophie569778
 
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...Institut de l'Elevage - Idele
 
Algo II : les piles ( cours + exercices)
Algo II :  les piles ( cours + exercices)Algo II :  les piles ( cours + exercices)
Algo II : les piles ( cours + exercices)Sana REFAI
 
comprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestioncomprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestionyakinekaidouchi1
 
conception d'un batiment r+4 comparative de defferente ariante de plancher
conception d'un  batiment  r+4 comparative de defferente ariante de plancherconception d'un  batiment  r+4 comparative de defferente ariante de plancher
conception d'un batiment r+4 comparative de defferente ariante de planchermansouriahlam
 
GAL2024 - Décarbonation du secteur laitier : la filière s'engage
GAL2024 - Décarbonation du secteur laitier : la filière s'engageGAL2024 - Décarbonation du secteur laitier : la filière s'engage
GAL2024 - Décarbonation du secteur laitier : la filière s'engageInstitut de l'Elevage - Idele
 
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenus
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenusGAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenus
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenusInstitut de l'Elevage - Idele
 
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...GAL2024 - Consommations et productions d'énergies dans les exploitations lait...
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...Institut de l'Elevage - Idele
 
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...GAL2024 - Méthane 2030 : une démarche collective française à destination de t...
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...Institut de l'Elevage - Idele
 
JTC 2024 - Réglementation européenne BEA et Transport.pdf
JTC 2024 - Réglementation européenne BEA et Transport.pdfJTC 2024 - Réglementation européenne BEA et Transport.pdf
JTC 2024 - Réglementation européenne BEA et Transport.pdfInstitut de l'Elevage - Idele
 

Dernier (20)

GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...
GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...
GAL2024 - Parcellaire des fermes laitières : en enjeu de compétitivité et de ...
 
JTC 2024 Bâtiment et Photovoltaïque.pdf
JTC 2024  Bâtiment et Photovoltaïque.pdfJTC 2024  Bâtiment et Photovoltaïque.pdf
JTC 2024 Bâtiment et Photovoltaïque.pdf
 
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...
GAL2024 - Traite des vaches laitières : au coeur des stratégies d'évolution d...
 
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...
GAL2024 - Renouvellement des actifs : un enjeu pour la filière laitière franç...
 
JTC 2024 La relance de la filière de la viande de chevreau.pdf
JTC 2024 La relance de la filière de la viande de chevreau.pdfJTC 2024 La relance de la filière de la viande de chevreau.pdf
JTC 2024 La relance de la filière de la viande de chevreau.pdf
 
GAL2024 - Changements climatiques et maladies émergentes
GAL2024 - Changements climatiques et maladies émergentesGAL2024 - Changements climatiques et maladies émergentes
GAL2024 - Changements climatiques et maladies émergentes
 
JTC 2024 - DeCremoux_Anomalies_génétiques.pdf
JTC 2024 - DeCremoux_Anomalies_génétiques.pdfJTC 2024 - DeCremoux_Anomalies_génétiques.pdf
JTC 2024 - DeCremoux_Anomalies_génétiques.pdf
 
GAL2024 - L'élevage laitier cultive la biodiversité
GAL2024 - L'élevage laitier cultive la biodiversitéGAL2024 - L'élevage laitier cultive la biodiversité
GAL2024 - L'élevage laitier cultive la biodiversité
 
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdf
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdfJTC 2024 - SMARTER Retour sur les indicateurs de santé .pdf
JTC 2024 - SMARTER Retour sur les indicateurs de santé .pdf
 
WBS OBS RACI_2020-etunhjjlllllll pdf.pdf
WBS OBS RACI_2020-etunhjjlllllll pdf.pdfWBS OBS RACI_2020-etunhjjlllllll pdf.pdf
WBS OBS RACI_2020-etunhjjlllllll pdf.pdf
 
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...
JTC 2024 - Leviers d’adaptation au changement climatique, qualité du lait et ...
 
Algo II : les piles ( cours + exercices)
Algo II :  les piles ( cours + exercices)Algo II :  les piles ( cours + exercices)
Algo II : les piles ( cours + exercices)
 
comprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestioncomprehension de DDMRP dans le domaine de gestion
comprehension de DDMRP dans le domaine de gestion
 
conception d'un batiment r+4 comparative de defferente ariante de plancher
conception d'un  batiment  r+4 comparative de defferente ariante de plancherconception d'un  batiment  r+4 comparative de defferente ariante de plancher
conception d'un batiment r+4 comparative de defferente ariante de plancher
 
GAL2024 - Décarbonation du secteur laitier : la filière s'engage
GAL2024 - Décarbonation du secteur laitier : la filière s'engageGAL2024 - Décarbonation du secteur laitier : la filière s'engage
GAL2024 - Décarbonation du secteur laitier : la filière s'engage
 
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenus
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenusGAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenus
GAL2024 - Situation laitière 2023-2024 : consommation, marchés, prix et revenus
 
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...GAL2024 - Consommations et productions d'énergies dans les exploitations lait...
GAL2024 - Consommations et productions d'énergies dans les exploitations lait...
 
CAP2ER_GC_Presentation_Outil_20240422.pptx
CAP2ER_GC_Presentation_Outil_20240422.pptxCAP2ER_GC_Presentation_Outil_20240422.pptx
CAP2ER_GC_Presentation_Outil_20240422.pptx
 
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...GAL2024 - Méthane 2030 : une démarche collective française à destination de t...
GAL2024 - Méthane 2030 : une démarche collective française à destination de t...
 
JTC 2024 - Réglementation européenne BEA et Transport.pdf
JTC 2024 - Réglementation européenne BEA et Transport.pdfJTC 2024 - Réglementation européenne BEA et Transport.pdf
JTC 2024 - Réglementation européenne BEA et Transport.pdf
 

Spartan 3E FPGA Board Tutoriels

  • 1. ✪ Spartan 3e FPGA Board Tutoriels ✪ letselectronic.blogspot.com /2016/03/spartan-3e-fpga-board-tutoriels.html Spartan 3e FPGA Board !!! Pour ceux qui n'ont pas encore des connaissances sur le domaine FPGA, et qui savent pas encore les liens directs entre ce dernier et VHDL, ainsi comment faire pour configurer et programmer une carte FPGA vous pouvez jette une œil sur mon ancien article Lets Discover FPGA avant de démarrer en si dessous . Aujourd'hui j'ai choisi la carte Spartan 3e FPGA pour débuter cette série de tutoriels, alors découvrons d'abord cette dernière. Un FPGA est un dispositif à semi-conducteurs composé d'une matrice de blocs logiques configurables connectés par des interconnexions programmables. L'utilisateur détermine ces interconnexions en programmant la mémoire SRAM. Un CLB peut être simple (portes AND, OR, etc.) ou complexe (un bloc de RAM). Le FPGA permet d'apporter des modifications à une conception même après le soudage du dispositif sur un circuit imprimé. La Spartan 3e elle est caractérisée par : Nombre de portes logiques 500000 Nombre d'unités logiques 1164 1/6
  • 2. Nombre de registres 9312 Nombre de multiplieurs 20 (18 x 18) Type de montage CMS Type de boîtier FBGA Nombre de broche 320 Nombre de bits de RAM 74752 bit Dimensions 19 x 19 x 1.4mm Hauteur 1.4mm Longueur 19mm Tension d'alimentation fonctionnement maximum 1,26 V Température de fonctionnement minimum 0 °C Température d'utilisation maximum +85 °C Largeur 19mm Tension d'alimentation de fonctionnement minimum 1,14 V 2/6
  • 3. Alors pour débuter avec Spartan 3e, on a choisi des test simples tels que contrôler les Diodes Led sur la carte. pour ceci comme j'ai déjà expliquer dans mon article précédant je doit utiliser ISE et programmer en VHDL. Voila le programme qui est capable de faire allumer tout les Led sur Spartan 3e. 3/6
  • 4. // Hello Word !!d ---------------------------------------------------------------------------------- -- Company: -- Engineer: Aymen Lachkhem -- -- Create Date: 15:01:03 03/23/2016 -- Design Name: -- Module Name: Testing_LEDS - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Testing_LEDS is Port ( LED_1 : out STD_LOGIC; LED_2 : out STD_LOGIC; LED_3 : out STD_LOGIC; LED_4 : out STD_LOGIC; LED_5 : out STD_LOGIC); end Testing_LEDS; architecture Behavioral of Testing_LEDS is begin LED_1 <= '1'; LED_2 <= '1'; LED_3 <= '1'; LED_4 <= '1'; LED_5 <= '1'; end Behavioral; et Pour la configurations des pins j'ai fait ça : NET "LED_1" LOC = "F12"; NET "LED_2" LOC = "E12"; NET "LED_3" LOC = "E11"; NET "LED_4" LOC = "F11"; NET "LED_5" LOC = "C11"; Voici cette vidéo vous expliquerez pas à pas tout les taches faites, et vous montrerez le test pratique. 4/6
  • 5. On va passé maintenant dés d'allumer les leds a les faire controler chaque une par un switcheur inclus dans la carte Spartan 3e, au niveau du programme, il y aura pas beaucoup de changement il faut juste boucler l'allumage par des conditions répétitives de switcheurs. // Leds,Buttons Interfacing--------------------------------------------------------------- ------------------- -- Company: -- Engineer: -- -- Create Date: 17:13:12 03/23/2016 -- Design Name: -- Module Name: Button_LEDS - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Button_LEDS is Port ( Button_1 : in STD_LOGIC; Button_2 : in STD_LOGIC; Button_3 : in STD_LOGIC; Button_4 : in STD_LOGIC; Led_1 : out STD_LOGIC; Led_2 : out STD_LOGIC; Led_3 : out STD_LOGIC; Led_4 : out STD_LOGIC); end Button_LEDS; architecture Behavioral of Button_LEDS is begin WORK:process begin if(Button_1 = '1') then Led_1 <= '1'; else Led_1 <= '0'; end if; if(Button_2 = '1') then Led_2 <= '1'; else Led_2 <= '0'; 5/6
  • 6. Led_2 <= '0'; end if; if(Button_3 = '1') then Led_3 <= '1'; else Led_3 <= '0'; end if; if(Button_4 = '1') then Led_4 <= '1'; else Led_4 <= '0'; end if; end process; end Behavioral; et Pour la configurations des pins j'ai fait ça : NET "Button_1" LOC = "N17"; NET "Button_2" LOC = "L13"; NET "Button_3" LOC = "H18"; NET "Button_4" LOC = "L14"; NET "Led_1" LOC = "E9"; NET "Led_2" LOC = "F12"; NET "Led_3" LOC = "E11"; NET "Led_4" LOC = "C11"; Voici cette vidéo vous expliquerez pas à pas tout les taches faites, et vous montrerez le test pratique. 6/6